Is the resist sidewall after development isotropic or anisotropic? effects of resist sidewall morphology on LER reduction and transfer during etching

Measurements of the sidewall morphology of commercial resist lines (3D Line Edge Roughness) after lithography and before etching by CD-AFM and SEM show that they exhibit anisotropy in the form of striations perpendicular to line direction. When this anisotropy of post-litho resist sidewalls is included in the models for trimming and pattern transfer proposed in [V. Constantoudis et al., Proc SPIE 7273, 72732J (2009)], then the models predict the beneficial role of trimming process in LER reduction during pattern transfer in agreement with experimental results. Furthermore, experimental and simulation studies show that the CD-AFM measurements of the 3D Line Width Roughness may overestimate the correlation length. Taking into account this finding in the model for trimming, we found that model predictions approach further the experimental results.

[1]  Some issues on atomic force microscopy based surface characterization , 2007 .

[2]  M. Iyer,et al.  Monte Carlo simulation studies of sidewall roughening during reactive ion etching , 2007 .

[3]  Kenji Yamazaki,et al.  Influence of edge roughness in resist patterns on etched patterns , 1998 .

[4]  J. Foucher,et al.  CD-AFM versus CD-SEM for resist LER and LWR measurements , 2006, SPIE Advanced Lithography.

[5]  Johann Foucher From CD to 3D sidewall roughness analysis with 3D CD-AFM , 2005, SPIE Advanced Lithography.

[6]  Hiroshi Ito,et al.  Impact of thin resist processes on post-etch LER , 2003, SPIE Advanced Lithography.

[7]  J. Foucher,et al.  Paving the way for multiple applications for the 3D-AFM technique in the semiconductor industry , 2008, SPIE Advanced Lithography.

[8]  E. Gogolides,et al.  Modeling of line edge roughness transfer during plasma etching , 2009 .

[9]  Johann Foucher,et al.  Advances in CD-AFM scan algorithm technology enable improved CD metrology , 2007, SPIE Advanced Lithography.

[10]  Toh-Ming Lu,et al.  Characterization of Amorphous and Crystalline Rough Surface: Principles and Applications , 2001 .

[11]  Evangelos Gogolides,et al.  Line-edge-roughness transfer during plasma etching: modeling approaches and comparison with experimental results , 2009 .

[12]  Olivier Joubert,et al.  Plasma impact on 193 nm photoresist linewidth roughness: Role of plasma vacuum ultraviolet light , 2009 .

[13]  Olivier Joubert,et al.  Linewidth roughness transfer measured by critical dimension atomic force microscopy during plasma patterning of polysilicon gate transistors , 2008 .

[14]  Wenhao Huang,et al.  Numerical simulation of the geometrical factors affecting surface roughness measurements by AFM , 2004 .

[15]  Tomoki Inoue,et al.  Striations on Si Trench Sidewalls Observed by Atomic Force Microscopy , 1997 .

[16]  E. Gogolides,et al.  Nanoscale Roughness Effects at the Interface of Lithography and Plasma Etching: Modeling of Line-Edge-Roughness Transfer During Plasma Etching , 2009, IEEE Transactions on Plasma Science.

[17]  A. Bazin,et al.  Mechanisms involved in HBr and Ar cure plasma treatments applied to 193 nm photoresists , 2009 .

[18]  Gregg M. Gallatin,et al.  Effect of thin-film imaging on line edge roughness transfer to underlayers during etch processes , 2004 .

[19]  J. Moon,et al.  Sub-0.1 μm nitride hard mask open process without precuring the ArF photoresist , 2003 .

[20]  Evangelos Gogolides,et al.  Characterization and modeling of line width roughness (LWR) , 2005, SPIE Advanced Lithography.

[21]  Modeling the impact of photoresist trim etch process on photoresist surface roughness , 2003 .

[22]  H. Sawin,et al.  Review of profile and roughening simulation in microelectronics plasma etching , 2009 .

[23]  J. Villarrubia Algorithms for Scanned Probe Microscope Image Simulation, Surface Reconstruction, and Tip Estimation , 1997, Journal of research of the National Institute of Standards and Technology.

[24]  S. Engelmann,et al.  Studies of plasma surface interactions during short time plasma etching of 193 and 248 nm photoresist materials , 2006 .

[25]  F. Ren,et al.  Reduction of Sidewall Roughness During Dry Etching of SiO 2 , 1992 .

[26]  P. Faurie,et al.  The measurement uncertainty challenge for the future technological nodes production and development , 2009, Advanced Lithography.