Variable-length input Huffman coding for system-on-a-chip test

This paper presents a new compression method for embedded core-based system-on-a-chip test. In addition to the new compression method, this paper analyzes the three test data compression environment (TDCE) parameters: compression ratio, area overhead, and test application time, and explains the impact of the factors which influence these three parameters. The proposed method is based on a new variable-length input Huffman coding scheme, which proves to be the key element that determines all the factors that influence the TDCE parameters. Extensive experimental comparisons show that, when compared with three previous approaches, which reduce some test data compression environment's parameters at the expense of the others, the proposed method is capable of improving on all the three TDCE parameters simultaneously.

[1]  Nur A. Touba,et al.  Altering a pseudo-random bit sequence for scan-based BIST , 1996, Proceedings International Test Conference 1996. Test and Design Validity.

[2]  H. Wunderlich,et al.  Bit-flipping BIST , 1996, ICCAD 1996.

[3]  Nur A. Touba,et al.  Test vector encoding using partial LFSR reseeding , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[4]  Samiha Mourad,et al.  Embedded test control schemes for compression in SOCs , 2002, DAC '02.

[5]  Jin-Fu Li,et al.  Diagnostic Data Compression Techniques for Embedded Memories with Built-In Self-Test , 2002, J. Electron. Test..

[6]  Irith Pomeranz,et al.  COMPACTEST: a method to generate compact test sets for combinational circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[7]  Yervant Zorian,et al.  Test of future system-on-chips , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[8]  Gundolf Kiefer,et al.  Application of deterministic logic BIST on industrial circuits , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[9]  Nur A. Touba,et al.  Test vector decompression via cyclical scan chains and its application to testing core-based designs , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[10]  B. Koneman,et al.  LFSR-Coded Test Patterns for Scan Designs , 1993 .

[11]  Krishnendu Chakrabarty,et al.  Frequency-directed run-length (FDR) codes with application to system-on-a-chip test data compression , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[12]  Aiman H. El-Maleh,et al.  A geometric-primitives-based compression scheme for testing systems-on-a-chip , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[13]  Nur A. Touba,et al.  Hybrid BIST based on weighted pseudo-random testing: a new test resource partitioning scheme , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[14]  Samiha Mourad,et al.  Compression technique for interactive BIST application , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[15]  Wojciech Maly,et al.  Enabling embedded memory diagnosis via test response compression , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[16]  Vishwani D. Agrawal,et al.  High-performance circuit testing with slow-speed testers , 1995, Proceedings of 1995 IEEE International Test Conference (ITC).

[17]  Dong Sam Ha,et al.  COMPACT: a hybrid method for compressing test data , 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

[18]  Janusz Rajski DFT for high-quality low cost manufacturing test , 2001, Proceedings 10th Asian Test Symposium.

[19]  A. Ogawa,et al.  Dynamic test compression using statistical coding , 2001, Proceedings 10th Asian Test Symposium.

[20]  Janak H. Patel,et al.  Test set compaction algorithms for combinational circuits , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[21]  Yervant Zorian,et al.  Towards a standard for embedded core test: an example , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[22]  Nur A. Touba,et al.  Using an embedded processor for efficient deterministic testing of systems-on-a-chip , 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

[23]  Vishwani D. Agrawal,et al.  Essentials of electronic testing for digital, memory, and mixed-signal VLSI circuits [Book Review] , 2000, IEEE Circuits and Devices Magazine.

[24]  Krishnendu Chakrabarty,et al.  Deterministic Built-in Pattern Generation for Sequential Circuits , 1999, J. Electron. Test..

[25]  David F. Heidel,et al.  High speed serializing/de-serializing design-for-test method for evaluating a 1 GHz microprocessor , 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

[26]  Brion L. Keller,et al.  A SmartBIST variant with guaranteed encoding , 2001, Proceedings 10th Asian Test Symposium.

[27]  Nur A. Touba,et al.  Reducing test data volume using external/LBIST hybrid test patterns , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[28]  Dong Sam Ha,et al.  An efficient method for compressing test data , 1997, Proceedings International Test Conference 1997.

[29]  Thomas M. Cover,et al.  Elements of Information Theory , 2005 .

[30]  Alex Orailoglu,et al.  Test volume and application time reduction through scan chain concealment , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[31]  Janusz Rajski,et al.  Logic BIST for large industrial designs: real issues and case studies , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[32]  .May ..id University of Illinois , 1919, The Grants Register 2021.

[33]  Janusz Rajski,et al.  Test Data Decompression for Multiple Scan Designs with Boundary Scan , 1998, IEEE Trans. Computers.

[34]  Gundolf Kiefer,et al.  Deterministic BIST with multiple scan chains , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[35]  Hans-Joachim Wunderlich,et al.  Tailoring ATPG for embedded testing , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[36]  Irith Pomeranz,et al.  Test transformation to improve compaction by statistical encoding , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

[37]  Nur A. Touba,et al.  Scan vector compression/decompression using statistical coding , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

[38]  Krishnendu Chakrabarty,et al.  System-on-a-chip test-data compression and decompressionarchitectures based on Golomb codes , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[39]  Alex Orailoglu,et al.  Reducing test application time through test data mutation encoding , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[40]  David Bryan,et al.  Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.