Digital Computation in Subthreshold Region for Ultralow-Power Operation: A Device–Circuit–Architecture Codesign Perspective
暂无分享,去创建一个
[1] J. Jomaah,et al. Band-to-band tunnelling model of gate induced drain leakage current in silicon MOS transistors , 1996 .
[2] Meng-Hsueh Chiang,et al. Speed superiority of scaled double-gate CMOS , 2002 .
[3] A. Asenov,et al. Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness , 2003 .
[4] Anantha P. Chandrakasan,et al. Low-power CMOS digital design , 1992 .
[5] Kaushik Roy,et al. A single-Vt low-leakage gated-ground cache for deep submicron , 2003, IEEE J. Solid State Circuits.
[6] Myeong-Eun Hwang,et al. Process-Tolerant Ultralow Voltage Digital Subthreshold Design , 2008, 2008 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems.
[7] H. Iwai,et al. 1.5 nm direct-tunneling gate oxide Si MOSFET's , 1996 .
[8] R. M. Swanson,et al. Ion-implanted complementary MOS transistors in low-voltage circuits , 1972 .
[9] Kaushik Roy,et al. Ultra-low power DLMS adaptive filter for hearing aid applications , 2001, ISLPED '01.
[10] Kaushik Roy,et al. A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.
[11] J.P. Campbell,et al. The Origins of Random Telegraph Noise in Highly Scaled SiON nMOSFETs , 2008, 2008 IEEE International Integrated Reliability Workshop Final Report.
[12] Kaushik Roy,et al. Process variation tolerant SRAM array for ultra low voltage applications , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[13] Jason Liu,et al. Circuit techniques for ultra-low power subthreshold SRAMs , 2008, 2008 IEEE International Symposium on Circuits and Systems.
[14] Enrique San Millán,et al. Logic optimization of unidirectional circuits with structural methods , 2001, Proceedings Seventh International On-Line Testing Workshop.
[15] Aaas News,et al. Book Reviews , 1893, Buffalo Medical and Surgical Journal.
[16] S. Ogura,et al. Halo doping effects in submicron DI-LDD device design , 1985, 1985 International Electron Devices Meeting.
[17] K. Roy,et al. A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM , 2007, IEEE Journal of Solid-State Circuits.
[18] Jeffrey A. Davis,et al. The fundamental limit on binary switching energy for terascale integration (TSI) , 2000, IEEE Journal of Solid-State Circuits.
[19] Zhiping Yu,et al. Impact of gate direct tunneling current on circuit performance: a simulation study , 2001 .
[20] Srinivas Katkoori,et al. RT-level interconnect optimization in DSM regime , 2000, Proceedings IEEE Computer Society Workshop on VLSI 2000. System Design for a System-on-Chip Era.
[21] David Blaauw,et al. Compact In-Situ Sensors for Monitoring Negative-Bias-Temperature-Instability Effect and Oxide Degradation , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[22] Gaetano Palumbo,et al. Evaluation on power reduction applying gated clock approaches , 2002, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353).
[23] J.S. Suehle,et al. Large random telegraph noise in sub-threshold operation of nano-scale nMOSFETs , 2009, 2009 IEEE International Conference on IC Design and Technology.
[24] Enrique San Millán,et al. On the optimization power of redundancy addition and removal for sequential logic optimization , 2001, Proceedings Euromicro Symposium on Digital Systems Design.
[25] K. Y. Lim,et al. A general approach to compact threshold voltage formulation based on 2D numerical simulation and experimental correlation for deep-submicron ULSI technology development [CMOS] , 2000 .
[26] A.P. Chandrakasan,et al. A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy , 2008, IEEE Journal of Solid-State Circuits.
[27] D. Coue,et al. A four-quadrant subthreshold mode multiplier for analog neural-network applications , 1996, IEEE Trans. Neural Networks.
[28] Behzad Razavi,et al. Design of Analog CMOS Integrated Circuits , 1999 .
[29] Ali Javey,et al. Carbon Nanotubes: From Growth, Placement and Assembly Control to 60mV/decade and Sub-60 mV/decade Tunnel Transistors , 2006, 2006 International Electron Devices Meeting.
[30] David L. Pulfrey,et al. Quantum capacitance in nanoscale device modeling , 2004 .
[31] Anantha Chandrakasan,et al. Optimal supply and threshold scaling for subthreshold CMOS circuits , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.
[32] Vivek De,et al. A new technique for standby leakage reduction in high-performance circuits , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).
[33] Eric A. Vittoz,et al. Weak Inversion for Ultimate Low-Power Logic , 2004, Low-Power Electronics Design.
[34] W. Marsden. I and J , 2012 .
[35] C.K. Sarkar,et al. Performance Evaluation of Analog Circuits with Deep Submicrometer MOSFETs in the Subthreshold Regime of Operation , 2006, First International Conference on Industrial and Information Systems.
[36] S. Thompson,et al. Moore's law: the future of Si microelectronics , 2006 .
[37] J. Appenzeller,et al. Band-to-band tunneling in carbon nanotube field-effect transistors. , 2004, Physical review letters.
[38] K. Roy,et al. Double gate-MOSFET subthreshold circuit for ultralow power applications , 2004, IEEE Transactions on Electron Devices.
[39] Mingoo Seok,et al. Nanometer Device Scaling in Subthreshold Logic and SRAM , 2008, IEEE Transactions on Electron Devices.
[40] John Keane,et al. Utilizing Reverse Short Channel Effect for Optimal Subthreshold Circuit Design , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[41] Anantha Chandrakasan,et al. Characterizing and modeling minimum energy operation for subthreshold circuits , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[42] Yuan Taur,et al. Fundamentals of Modern VLSI Devices , 1998 .
[43] Joonho Lim,et al. Reversible energy recovery logic circuit without non-adiabatic energy loss , 1998 .
[44] Kaushik Roy,et al. Compact models considering incomplete voltage swing in complementary metal oxide semiconductor circuits at ultralow voltages: A circuit perspective on limits of switching energy , 2009 .
[45] Jason Liu,et al. An 8T Subthreshold SRAM Cell Utilizing Reverse Short Channel Effect for Write Margin and Read Performance Improvement , 2007, 2007 IEEE Custom Integrated Circuits Conference.
[46] Kaushik Roy,et al. Computing with subthreshold leakage: device/circuit/architecture co-design for ultralow-power subthreshold operation , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[47] Pablo Ituero,et al. Leakage-based On-Chip Thermal Sensor for CMOS Technology , 2007, 2007 IEEE International Symposium on Circuits and Systems.
[48] Kaushik Roy,et al. Dynamic VTH Scaling Scheme for Active Leakage Power Reduction , 2002, DATE.
[49] Kaushik Roy,et al. Ultra-low power digital subthreshold logic circuits , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[50] Yu Cao,et al. Effects of global interconnect optimizations on performance estimation of deep submicron design , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[51] M. Sarrafzadeh,et al. Simultaneous voltage scaling and gate sizing for low-power design , 2002 .
[52] Daeyeon Kim,et al. The Phoenix Processor: A 30pW platform for sensor applications , 2008, 2008 IEEE Symposium on VLSI Circuits.
[53] Kaushik Roy,et al. Analysis of Super Cut-off Transistors for Ultralow Power Digital Logic Circuits , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[54] Kaushik Roy,et al. Robust subthreshold logic for ultra-low power operation , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[55] Doris Schmitt-Landsiedel,et al. Complementary tunneling transistor for low power application , 2004 .
[56] A. Wang,et al. Modeling and sizing for minimum energy operation in subthreshold circuits , 2005, IEEE Journal of Solid-State Circuits.
[57] Kaushik Roy,et al. Dynamic V/sub TH/ scaling scheme for active leakage power reduction , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.