Dynamic Voltage Frequency Scaling in Multi-core Systems using Adaptive Regression Model

A learning-based manager that controls the power budget through dynamic voltage frequency scaling (DVFS) in a multi-core processor has been proposed in this paper. The core statistics are collected and employed to predict the next interval power consumption and are thereby used to determine the best suited voltage-frequency setting for each core. The aim is to maximize perforformance while containing the power consumption per-core. The presented solution is realized in Snipersim and the fine-grained DVFS algorithm is included through Python scripting. Simulation results demonstrate that the proposed approach is able to achieve 6.6 % energy-reduction and average power-savings of 27.4% against the existing state-of-the-art algorithm (Steepest Drop) for various allocation schemes.

[1]  Luca Benini,et al.  Dynamic power management using adaptive learning tree , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[2]  Sally A. McKee,et al.  Identifying energy-efficient concurrency levels using machine learning , 2007, 2007 IEEE International Conference on Cluster Computing.

[3]  G. Dhiman,et al.  Dynamic Power Management Using Machine Learning , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[4]  Lieven Eeckhout,et al.  Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation , 2011, 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

[5]  Antonia Zhai,et al.  Enabling improved power management in multicore processors through clustered DVFS , 2010, 2011 Design, Automation & Test in Europe.

[6]  Massoud Pedram,et al.  Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times , 2005 .

[7]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[8]  Jacob Cohen,et al.  Applied multiple regression/correlation analysis for the behavioral sciences , 1979 .

[9]  Kunle Olukotun,et al.  Map-Reduce for Machine Learning on Multicore , 2006, NIPS.

[10]  Diana Marculescu,et al.  Distributed reinforcement learning for power limited many-core system performance optimization , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[11]  Bharadwaj Veeravalli,et al.  Combined DVFS and mapping exploration for lifetime and soft-error susceptibility improvement in MPSoCs , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[12]  William Jalby,et al.  Evaluation of CPU frequency transition latency , 2014, Computer Science - Research and Development.

[13]  R RajeshSharma,et al.  Cost Effective Energy-Saving System in Parking Spots , 2020 .

[14]  Tajana Simunic,et al.  Dynamic voltage frequency scaling for multi-tasking systems using online learning , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[15]  Qiang Xu,et al.  Learning-Based Power Management for Multicore Processors via Idle Period Manipulation , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Kun Cao,et al.  Static Thermal-Aware Task Assignment and Scheduling for Makespan Minimization in Heterogeneous Real-Time MPSoCs , 2016, 2016 International Symposium on System and Software Reliability (ISSSR).

[17]  Dirk Grunwald,et al.  Using IPC Variation in Workloads with Externally Specified R ates to Reduce Power Consumption , 2000 .

[18]  D Nirmal,et al.  Artificial Intelligence Based Distribution System Management and Control , 2020 .

[19]  Christine A. Shoemaker,et al.  Scalable thread scheduling and global power management for heterogeneous many-core architectures , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

[20]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).