BandArb: mitigating the effects of thermal and process variations in silicon-photonic network
暂无分享,去创建一个
[1] Venkatesh Akella,et al. Addressing system-level trimming issues in on-chip nanophotonic networks , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[2] Alyssa B. Apsel,et al. Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[3] Yu Zhang,et al. Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.
[4] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[5] Alyssa B. Apsel,et al. Analysis of challenges for on-chip optical interconnects , 2009, GLSVLSI '09.
[6] P ? ? ? ? ? ? ? % ? ? ? ? , 1991 .
[7] Norman P. Jouppi,et al. Combining memory and a controller with photonics through 3D-stacking to enable scalable and energy-efficient systems , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[8] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[9] Ashok V. Krishnamoorthy,et al. Silicon-photonic network architectures for scalable, power-efficient multi-chip systems , 2010, ISCA '10.
[10] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[11] Sani R. Nassif,et al. Modeling and forecasting of manufacturing variations , 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).
[12] John Kim,et al. FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[13] Oded Cohen,et al. Mode-locked silicon evanescent lasers. , 2007, Optics express.
[14] Qianfan Xu,et al. Silicon microring resonators with 1.5-μm radius , 2008 .
[15] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[16] Michal Lipson,et al. Performance guidelines for WDM interconnects based on silicon microring resonators , 2011, CLEO: 2011 - Laser Science to Photonic Applications.
[17] Jung Ho Ahn,et al. Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.
[18] G. G. Stokes. "J." , 1890, The New Yale Book of Quotations.
[19] R. Baets,et al. Trimming of silicon ring resonator by electron beam induced compaction and strain. , 2008, Optics express.
[20] Jie Sun,et al. Nanophotonic integration in state-of-the-art CMOS foundries. , 2011, Optics express.
[22] S. J. B. Yoo. CMOS-compatible silicon photonic integrated systems in future computing and communication systems , 2010, OECC 2010 Technical Digest.
[23] J. Torrellas,et al. VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.
[24] Jung Ho Ahn,et al. The role of optics in future high radix switch design , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[25] Yu Cao,et al. Mapping statistical process variations toward circuit performance variability: an analytical modeling approach , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[26] Xi Chen,et al. Reliability Modeling and Management of Nanophotonic On-Chip Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[27] Rami G. Melhem,et al. Channel borrowing: an energy-efficient nanophotonic crossbar architecture with light-weight arbitration , 2012, ICS '12.
[28] Salvatore Monteleone,et al. Noxim: An open, extensible and cycle-accurate network on chip simulator , 2015, 2015 IEEE 26th International Conference on Application-specific Systems, Architectures and Processors (ASAP).
[29] Christopher Batten,et al. Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.
[30] Chen Sun,et al. Addressing link-level design tradeoffs for integrated photonic interconnects , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).
[31] Ashok V. Krishnamoorthy,et al. A micro-architectural analysis of switched photonic multi-chip interconnects , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[32] Jun Yang,et al. A composite and scalable cache coherence protocol for large scale CMPs , 2011, ICS '11.
[33] Sani R. Nassif. Modeling and forecasting of manufacturing variations (embedded tutorial) , 2001, ASP-DAC '01.
[34] M. Watts,et al. Silicon microring modulator with integrated heater and temperature sensor for thermal control , 2010, CLEO/QELS: 2010 Laser Science to Photonic Applications.
[35] Christopher Batten,et al. Re-architecting DRAM memory systems with monolithically integrated silicon photonics , 2010, ISCA.
[36] Rami G. Melhem,et al. Tolerating process variations in nanophotonic on-chip networks , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).