A Macromodeling Approach for Analog Behavior of Digital Integrated Circuits
暂无分享,去创建一个
[1] Lawrence T. Pileggi,et al. Performance computation for precharacterized CMOS gates with RC loads , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[2] Tzu-Mu Lin. A Hierarchical Timing Simulation Model for Digital Integrated Circuits and Systems , 1984 .
[3] Mark Horowitz,et al. Signal Delay in RC Tree Networks , 1983, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[4] Vivek Raghavan,et al. Extension of the asymptotic waveform evaluation technique with the method of characteristics , 1992, ICCAD.
[5] Ronald A. Rohrer,et al. Interconnect simulation with asymptotic waveform evaluation (AWE) , 1992 .
[6] Peng Li,et al. A waveform independent gate model for accurate timing analysis , 2005, 2005 International Conference on Computer Design.
[7] Paul Penfield,et al. Signal Delay in RC Tree Networks , 1981, 18th Design Automation Conference.
[8] Basant R. Chawla,et al. Motis - an mos timing simulator , 1975 .
[9] Carver A. Mead,et al. Signal Delay in General RC Networks with Application to Timing Simulation of Digital Integrated Circuits , 1983 .
[10] Mark Horowitz,et al. Timing Models for MOS Circuits , 1983 .
[11] Jonathan Allen,et al. Macromodeling CMOS circuits for timing simulation , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[12] Carver Mead,et al. Signal Delay in General RC Networks , 1984, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[13] W. C. Elmore. The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .
[14] Massoud Pedram,et al. A Current Source Model for CMOS Logic Cells Considering Multiple Input Switching and Stack Effect , 2008, 2008 Design, Automation and Test in Europe.
[15] Ken Tseng,et al. A robust cell-level crosstalk delay change analysis , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[16] Noel Menezes,et al. A nonlinear cell macromodel for digital applications , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[17] Shahin Nazarian,et al. Accurate Timing and Noise Analysis of Combinational and Sequential Logic Cells Using Current Source Modeling , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[18] M.D. Matson,et al. Macromodeling and Optimization of Digital MOS VLSI Circuits , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[19] Chris C. N. Chu,et al. Fitted Elmore delay: a simple and accurate interconnect delay model , 2002, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[20] Noel Menezes,et al. A multi-port current source model for multiple-input switching effects in CMOS library cells , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[21] C. Y. Roger Chen,et al. Lookup Table Based Discrete Gate Sizing for Delay Minimization with Modified Elmore Delay Model , 2015, ACM Great Lakes Symposium on VLSI.
[22] Ahmed Soltan,et al. Elmore delay in the fractional order domain , 2017, 2017 European Conference on Circuit Theory and Design (ECCTD).
[23] Murat R. Becer,et al. Transistor level gate modeling for accurate and fast timing, noise, and power analysis , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[24] Massoud Pedram,et al. Timing Characterization for Static Timing Analysis of Single Flux Quantum Circuits , 2019, IEEE Transactions on Applied Superconductivity.
[25] Martin D. F. Wong,et al. Blade and razor: cell and interconnect delay analysis using current-based models , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[26] Alaa B. El-Rouby,et al. Current source based standard-cell model for accurate timing analysis of combinational logic cells , 2013, 2013 IEEE 20th International Conference on Electronics, Circuits, and Systems (ICECS).
[27] Noel Menezes,et al. A “true” electrical cell model for timing, noise, and power grid verification , 2008, 2008 45th ACM/IEEE Design Automation Conference.