Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis

The quest for technologies with superior device characteristics has showcased carbon nanotube field effect transistors (CNFETs) into limelight. Among the several design aspects necessary for today's grail in CNFET technology, achieving functional immunity to carbon nanotube (CNT) manufacturing issues (such as mispositioned CNTs and metallic CNTs) is of paramount importance. In this work we present a new design technique to build compact layouts while ensuring 100% functional immunity to mispositioned CNTs. Then, as second contribution of this work, we have developed a CNFET design kit (DK) to realize a complete design flow from logic-to-GDSII traversing the conventional CMOS design flow. This flow enables a framework that allows accurate comparison between CMOS and CNFET-based circuits. This paper also presents simulation results to illustrate such analysis, namely, a CNFET-based inverter can achieve gains, with respect to the energy-delay product (EDP) metric, of more than 4times in delay, 2times in energy/cycle and significant area savings (more than 30%) when compared to a corresponding CMOS inverter benchmarked with an industrial 65 nm technology.

[1]  K. Natori,et al.  Characteristics of a carbon nanotube field-effect transistor analyzed as a ballistic nanowire field-effect transistor , 2005 .

[2]  H.-S. Philip Wong,et al.  Carbon Nanotube Transistor Circuits: Circuit-Level Performance Benchmarking and Design Options for Living with Imperfections , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[3]  Jan M. Rabaey,et al.  Digital Integrated Circuits: A Design Perspective , 1995 .

[4]  P. Avouris,et al.  Engineering Carbon Nanotubes and Nanotube Circuits Using Electrical Breakdown , 2001, Science.

[5]  H. Dai,et al.  Preferential Growth of Semiconducting Single-Walled Carbon Nanotubes by a Plasma Enhanced CVD Method , 2004 .

[6]  Daniel J. Sorin,et al.  Semi-empirical SPICE models for carbon nanotube FET logic , 2004, 4th IEEE Conference on Nanotechnology, 2004..

[7]  H.-S. Philip Wong,et al.  Automated Design of Misaligned-Carbon-Nanotube-Immune Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[8]  Jing Guo,et al.  Carbon Nanotube Field-Effect Transistors with Integrated Ohmic Contacts and High-κ Gate Dielectrics , 2004 .

[9]  Jie Deng,et al.  A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including Nonidealities and Its Application—Part II: Full Device Model and Circuit Performance Benchmarking , 2007, IEEE Transactions on Electron Devices.

[10]  H. Wong,et al.  A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including Nonidealities and Its Application—Part I: Model of the Intrinsic Channel Region , 2007, IEEE Transactions on Electron Devices.

[11]  Kaushik Roy,et al.  A circuit-compatible model of ballistic carbon nanotube field-effect transistors , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  Nishant Patil,et al.  Design Guidelines for Metallic-Carbon-Nanotube-Tolerant Digital Logic Circuits , 2008, 2008 Design, Automation and Test in Europe.

[13]  H. Dai,et al.  Selective Etching of Metallic Carbon Nanotubes by Gas-Phase Reaction , 2006, Science.

[14]  R. Martel,et al.  Carbon nanotube field effect transistors - fabrication, device physics, and circuit implications , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[15]  J. Knoch,et al.  High-performance carbon nanotube field-effect transistor with tunable polarities , 2005, IEEE Transactions on Nanotechnology.

[16]  S. Datta,et al.  Performance projections for ballistic carbon nanotube field-effect transistors , 2002 .

[17]  K. Roy,et al.  Carbon Nanotube Field-Effect Transistors for High-Performance Digital Circuits—DC Analysis and Modeling Toward Optimum Transistor Structure , 2006, IEEE Transactions on Electron Devices.

[18]  A. Afzali,et al.  Air-stable chemical doping of carbon nanotube transistors [CNFETs] , 2004, Conference Digest [Includes 'Late News Papers' volume] Device Research Conference, 2004. 62nd DRC..