Networks on Chip (NoC): Interconnects of Next Generation Systems on Chip

Traditionally, the design of on-chip interconnects has been an afterthought in the design process of integrated circuits. As the complexity of interconnect and the capacitance, inductance and resistance associated with the wires have increased with technology scaling, the delays associated with wires can no longer be neglected. Consequently, planning the design of these interconnection networks early in the design stage has become critical in ensuring the desired operation of the integrated circuits. Network on Chip is an on-chip communication mechanism based on packet based data transmission to support early planning of interconnect design. This chapter reviews the various aspects of Network on a Chip and concludes with a case study of a neural network design using such a communication fabric.

[1]  William J. Dally,et al.  Express Cubes: Improving the Performance of k-Ary n-Cube Interconnection Networks , 1989, IEEE Trans. Computers.

[2]  Alberto L. Sangiovanni-Vincentelli,et al.  Efficient synthesis of networks on chip , 2003, Proceedings 21st International Conference on Computer Design.

[3]  Partha Pratim Pande,et al.  Design of a switch for network on chip applications , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

[4]  Mahmut T. Kandemir,et al.  A crosstalk aware interconnect with variable cycle transmission , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[5]  Mahmut T. Kandemir,et al.  Fault tolerant algorithms for network-on-chip interconnect , 2004, IEEE Computer Society Annual Symposium on VLSI.

[6]  Hannu Tenhunen,et al.  A guaranteed-throughput switch for network-on-chip , 2003, Proceedings. 2003 International Symposium on System-on-Chip (IEEE Cat. No.03EX748).

[7]  Anil K. Jain,et al.  Artificial Neural Networks: A Tutorial , 1996, Computer.

[8]  K. L. Shepard,et al.  Noise in deep submicron digital design , 1996, ICCAD 1996.

[9]  Kees G. W. Goossens,et al.  Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip , 2003, DATE.

[10]  Mary Jane Irwin,et al.  Adapative Error Protection for Energy Efficiency , 2003, ICCAD 2003.

[11]  Ran Ginosar,et al.  Cost considerations in network on chip , 2004, Integr..

[12]  Axel Jantsch,et al.  A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip , 2003, First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).

[13]  Dietmar Müller,et al.  Efficient modeling and synthesis of on-chip communication protocols for network-on-chip design , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

[14]  Alberto L. Sangiovanni-Vincentelli,et al.  Addressing the system-on-a-chip interconnect woes through communication-based design , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[15]  B. Nikolic,et al.  Architectures and implementations of low-density parity check decoding algorithms , 2002, The 2002 45th Midwest Symposium on Circuits and Systems, 2002. MWSCAS-2002..

[16]  Rudy Lauwereins,et al.  Networks on Chip as Hardware Components of an OS for Reconfigurable Systems , 2003, FPL.

[17]  Pierre G. Paulin,et al.  System-on-chip beyond the nanometer wall , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[18]  Narayanan Vijaykrishnan,et al.  Embedded hardware face detection , 2004, 17th International Conference on VLSI Design. Proceedings..

[19]  Sharad Malik,et al.  Power-driven design of router microarchitectures in on-chip networks , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[20]  Altamiro Amadeu Susin,et al.  SoCIN: a parametric and scalable network-on-chip , 2003, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings..

[21]  M. Glesner,et al.  A stochastic framework for communication architecture evaluation in networks-on-chip , 2003, Signals, Circuits and Systems, 2003. SCS 2003. International Symposium on.

[22]  Ran Ginosar,et al.  QNoC: QoS architecture and design process for network on chip , 2004, J. Syst. Archit..

[23]  Axel Jantsch,et al.  Evaluating NoC communication backbones with simulation , 2003 .

[24]  Mani B. Srivastava,et al.  A survey of techniques for energy efficient on-chip communication , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[25]  Ulrich Rückert,et al.  A mapping strategy for resource-efficient network processing on multiprocessor SoCs , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[26]  Radu Marculescu,et al.  Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[27]  Kees G. W. Goossens,et al.  Bringing communication networks on a chip: test and verification implications , 2003, IEEE Commun. Mag..

[28]  Radu Marculescu Networks-on-chip: the quest for on-chip fault-tolerant communication , 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..

[29]  Viktor Prasanna,et al.  Proceedings of the 6th International Parallel Processing Symposium , 1992 .

[30]  Daniel Wiklund Implementation of a behavioral simulator for on-chip switched networks , 2002 .

[31]  Axel Jantsch,et al.  Layout, Performance and Power Trade-Offs in Mesh-Based Network-on-Chip Architectures , 2003, VLSI-SOC.

[32]  Axel Jantsch,et al.  Extending platform-based design to network on chip systems , 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

[33]  Rabi N. Mahapatra,et al.  Interfacing cores with on-chip packet-switched networks , 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

[34]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[35]  Luca Benini,et al.  Powering networks on chips , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

[36]  L. Benini,et al.  /spl times/pipesCompiler: a tool for instantiating application specific networks on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[37]  Axel Jantsch,et al.  A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

[38]  Jiang Xu,et al.  A wave-pipelined on-chip interconnect structure for networks-on-chips , 2003, 11th Symposium on High Performance Interconnects, 2003. Proceedings..

[39]  Jan M. Rabaey,et al.  Digital Integrated Circuits , 2003 .

[40]  Shashi Kumar,et al.  A two-step genetic algorithm for mapping task graphs to a network on chip architecture , 2003, Euromicro Symposium on Digital System Design, 2003. Proceedings..

[41]  Axel Jantsch,et al.  Load distribution with the proximity congestion awareness in a network on chip , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[42]  T. Larsen,et al.  RF requirements for multi-hop cellular network repeaters , 2004, Proceedings Norchip Conference, 2004..

[43]  Jan Madsen,et al.  Network-on-chip modeling for system-level multiprocessor simulation , 2003, RTSS 2003. 24th IEEE Real-Time Systems Symposium, 2003.

[44]  Stephen P. Boyd,et al.  Managing power consumption in networks on chips , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[45]  Dake Liu,et al.  Design of a system-on-chip switched network and its design support , 2002, IEEE 2002 International Conference on Communications, Circuits and Systems and West Sino Expositions.

[46]  Axel Jantsch,et al.  The Nostrum backbone-a communication protocol stack for Networks on Chip , 2004, 17th International Conference on VLSI Design. Proceedings..

[47]  Axel Jantsch,et al.  Networks on chip , 2003 .

[48]  Tughrul Arslan,et al.  International Symposium on System-on-Chip , 2003 .

[49]  Ian O'Connor,et al.  Design and behavioral modeling tools for optical network-on-chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[50]  Giovanni De Micheli,et al.  An adaptive low-power transmission scheme for on-chip networks , 2002, 15th International Symposium on System Synthesis, 2002..

[51]  Gordon J. Brebner,et al.  Networking on chip with platform FPGAs , 2003, Proceedings. 2003 IEEE International Conference on Field-Programmable Technology (FPT) (IEEE Cat. No.03EX798).

[52]  Andrei Radulescu,et al.  Communication services for networks on chip , 2004 .

[53]  Patrick Schaumont,et al.  Integrated modeling and generation of a reconfigurable network-on-chip , 2004, 18th International Parallel and Distributed Processing Symposium, 2004. Proceedings..

[54]  Jörg Henkel,et al.  A case study in networks-on-chip design for embedded video , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[55]  Miltos D. Grammatikakis,et al.  OCCN: a network-on-chip modeling and simulation framework , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[56]  Sri Parameswaran,et al.  NoCGEN:a template based reuse methodology for Networks On Chip architecture , 2004, 17th International Conference on VLSI Design. Proceedings..

[57]  Kees G. W. Goossens,et al.  Cost-performance trade-offs in networks on chip: a simulation-based approach , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[58]  Vittorio Zaccaria,et al.  System Level Power Modeling and Simulation of High-End Industrial Network-On-Chip , 2004, Ultra Low-Power Electronics and Design.

[59]  Altamiro Amadeu Susin,et al.  RASoC: a router soft-core for networks-on-chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[60]  William J. Dally,et al.  Digital systems engineering , 1998 .

[61]  El Mostapha Aboulhamid,et al.  Proceedings of the 14th international symposium on Systems synthesis , 2001 .

[62]  Luca Benini,et al.  Low power error resilient encoding for on-chip data buses , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[63]  Karam S. Chatha,et al.  A power and performance model for network-on-chip architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[64]  Kees G. W. Goossens,et al.  Networks on silicon: blessing or nightmare? , 2002, Proceedings Euromicro Symposium on Digital System Design. Architectures, Methods and Tools.

[65]  Luca Benini,et al.  ×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip , 2004, DATE.

[66]  Radu Marculescu,et al.  Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures , 2003, DATE.

[67]  Axel Jantsch,et al.  Network on Chip : An architecture for billion transistor era , 2000 .

[68]  Kyusun Choi,et al.  VLSI implementation of a 256*256 crossbar interconnection network , 1992, Proceedings Sixth International Parallel Processing Symposium.

[69]  Shashi Kumar,et al.  Algorithms and tools for network on chip based system design , 2003, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings..

[70]  J.-P. Soininen,et al.  Evaluating application mapping using network simulation , 2003, Proceedings. 2003 International Symposium on System-on-Chip (IEEE Cat. No.03EX748).

[71]  S. Kumar,et al.  Optimizing network on chip architecture size for applications , 2003, ASIC, 2003. Proceedings. 5th International Conference on.

[72]  Srinivasan Murali,et al.  Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[73]  Mary Jane Irwin,et al.  An analytical power estimation model for crossbar interconnects , 2002, 15th Annual IEEE International ASIC/SOC Conference.

[74]  Jian Liu,et al.  A global wire planning scheme for Network-on-Chip , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

[75]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[76]  David D. Ling,et al.  Power Supply Noise Analysis Methodology For Deep-submicron Vlsi Chip Design , 1997, Proceedings of the 34th Design Automation Conference.

[77]  Luigi Carro,et al.  The impact of NoC reuse on the testing of core-based systems , 2003, Proceedings. 21st VLSI Test Symposium, 2003..