Outstanding Bit Error Tolerance of Resistive RAM-Based Binarized Neural Networks
暂无分享,去创建一个
Jacques-Olivier Klein | Damien Querlioz | Tifenn Hirtzlin | Jean Michel Portal | Marc Bocquet | Elisa Vianello | Etienne Nowak | E. Vianello | D. Querlioz | Jacques-Olivier Klein | M. Bocquet | J. Portal | E. Nowak | T. Hirtzlin
[1] Vivienne Sze,et al. Towards closing the energy gap between HOG and CNN features for embedded vision (Invited paper) , 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).
[2] Meng-Fan Chang,et al. A 462GOPs/J RRAM-based nonvolatile intelligent processor for energy harvesting IoE system featuring nonvolatile logics and processing-in-memory , 2017, 2017 Symposium on VLSI Technology.
[3] Elisa Vianello,et al. Sub-pJ consumption and short latency time in RRAM arrays for high endurance applications , 2018, 2018 IEEE International Reliability Physics Symposium (IRPS).
[4] G. Cibrario,et al. Fundamental variability limits of filament-based RRAM , 2016, 2016 IEEE International Electron Devices Meeting (IEDM).
[5] Tadahiro Kuroda,et al. BRein memory: A 13-layer 4.2 K neuron/0.8 M synapse binary/ternary reconfigurable in-memory deep neural network accelerator in 65 nm CMOS , 2017, 2017 Symposium on VLSI Circuits.
[6] Big data needs a hardware revolution , 2018, Nature.
[7] Shimeng Yu,et al. Neuro-Inspired Computing With Emerging Nonvolatile Memorys , 2018, Proceedings of the IEEE.
[8] Xiaochen Peng,et al. XNOR-RRAM: A scalable and parallel resistive synaptic architecture for binary neural networks , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[9] Ran El-Yaniv,et al. Binarized Neural Networks , 2016, NIPS.
[10] Yu Wang,et al. Binary convolutional neural network on RRAM , 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).
[11] Jacques-Olivier Klein,et al. In-Memory and Error-Immune Differential RRAM Implementation of Binarized Deep Neural Networks , 2018, 2018 IEEE International Electron Devices Meeting (IEDM).
[12] Xiaochen Peng,et al. Fully parallel RRAM synaptic array for implementing binary neural network with (+1, −1) weights and (+1, 0) neurons , 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).
[13] Damien Querlioz,et al. Bioinspired Programming of Memory Devices for Implementing an Inference Engine , 2015, Proceedings of the IEEE.
[14] Gu-Yeon Wei,et al. DNN Engine: A 28-nm Timing-Error Tolerant Sparse Deep Neural Network Processor for IoT Applications , 2018, IEEE Journal of Solid-State Circuits.
[15] H.-S. Philip Wong,et al. In-memory computing with resistive switching devices , 2018, Nature Electronics.
[16] E. Vianello,et al. Role of synaptic variability in resistive memory-based spiking neural networks with unsupervised learning , 2018, Journal of Physics D: Applied Physics.
[17] Meng-Fan Chang,et al. A 130nm 1Mb HfOx embedded RRAM macro using self-adaptive peripheral circuit system techniques for 1.6X work temperature range , 2017, 2017 IEEE Asian Solid-State Circuits Conference (A-SSCC).
[18] Yoshua Bengio,et al. Gradient-based learning applied to document recognition , 1998, Proc. IEEE.
[19] Guido Torelli,et al. On-chip error correcting techniques for new-generation flash memories , 2003, Proc. IEEE.
[20] Meng-Fan Chang,et al. 19.4 embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[21] Ali Farhadi,et al. XNOR-Net: ImageNet Classification Using Binary Convolutional Neural Networks , 2016, ECCV.
[22] Guigang Zhang,et al. Deep Learning , 2016, Int. J. Semantic Comput..
[23] Alex Krizhevsky,et al. Learning Multiple Layers of Features from Tiny Images , 2009 .