Supporting vertical links for 3D networks-on-chip: toward an automated design and analysis flow
暂无分享,去创建一个
[1] P. Arunasalam,et al. Z-axis interconnects using fine pitch, nanoscale through-silicon vias: Process development , 2004, 2004 Proceedings. 54th Electronic Components and Technology Conference (IEEE Cat. No.04CH37546).
[2] Srinivasan Murali,et al. Mapping and configuration methods for multi-use-case networks on chips , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[3] Hoi-Jun Yoo,et al. A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[4] Rajendra Singh,et al. Wafer Direct Bonding: From Advanced Substrate Engineering to Future Applications in Micro/Nanoelectronics , 2006, Proceedings of the IEEE.
[5] Luca Benini,et al. Fault Tolerance Overhead in Network-on-Chip Flow Control Schemes , 2005, 2005 18th Symposium on Integrated Circuits and Systems Design.
[6] Ran Ginosar,et al. QNoC: QoS architecture and design process for network on chip , 2004, J. Syst. Archit..
[7] Gerard J. M. Smit,et al. Fast, Accurate and Detailed NoC Simulations , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[8] Partha Pratim Pande,et al. Performance Evaluation for Three-Dimensional Networks-On-Chip , 2007, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07).
[9] Sujit Dey,et al. On-chip communication architecture for OC-768 network processors , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[10] Luca Benini,et al. A Layout-Aware Analysis of Networks-on-Chip and Traditional Interconnects for MPSoCs , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[11] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[12] Arvind Kumar,et al. Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..
[13] Eby G. Friedman,et al. 3-D Topologies for Networks-on-Chip , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[14] Dake Liu,et al. SoCBUS: switched network on chip for hard real time embedded systems , 2003, Proceedings International Parallel and Distributed Processing Symposium.
[15] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, MICRO.
[16] Chita R. Das,et al. A novel dimensionally-decomposed router for on-chip communication in 3D architectures , 2007, ISCA '07.
[17] Luca Benini,et al. Networks on Chips: A Synthesis Perspective , 2005, PARCO.
[18] Kees G. W. Goossens,et al. An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network configuration , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[19] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[20] Robert S. Patti,et al. Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs , 2006, Proceedings of the IEEE.
[21] Jens Sparsø,et al. Scheduling discipline for latency and bandwidth guarantees in asynchronous network-on-chip , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.
[22] Kumiko Nomura,et al. 3D on-chip networking technology based on post-silicon devices for future networks-on-chip , 2006, 2006 1st International Conference on Nano-Networks and Workshops.
[23] Alain Greiner,et al. Micro-network for SoC: implementation of a 32-port SPIN network , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[24] Roger Fabian W. Pease,et al. CMOS transistor processing compatible with monolithic 3-D integration , 2005 .
[25] Altamiro Amadeu Susin,et al. SoCIN: a parametric and scalable network-on-chip , 2003, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings..
[26] A. Fan,et al. Contact resistance measurement of bonded copper interconnects for three-dimensional integration technology , 2004, IEEE Electron Device Letters.
[27] L. Benini,et al. Designing Application-Specific Networks on Chips with Floorplan Information , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[28] Krishnan Srinivasan,et al. A methodology for layout aware design and optimization of custom network-on-chip architectures , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[29] Steve B. Furber,et al. Future trends in SoC interconnect , 2005, 2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test, 2005. (VLSI-TSA-DAT)..
[30] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[31] Alain Greiner,et al. Systematic Comparison between the Asynchronous and the Multi-Synchronous Implementations of a Network on Chip Architecture , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.