A Multilayer Data Copy Test Data Compression Scheme for Reducing Shifting-in Power for Multiple Scan Design

The random-like filling strategy pursuing high compression for today's popular test compression schemes introduces large test power. To achieve high compression in conjunction with reducing test power for multiple-scan-chain designs is even harder and very few works were dedicated to solve this problem. This paper proposes and demonstrates a multilayer data copy (MDC) scheme for test compression as well as test power reduction for multiple-scan-chain designs. The scheme utilizes a decoding buffer, which supports fast loading using previous loaded data, to achieve test data compression and test power reduction at the same time. The scheme can be applied automatic test pattern generation (ATPG)-independently or to be incorporated in an ATPG to generate highly compressible and power efficient test sets. Experiment results on benchmarks show that test sets generated by the scheme had large compression and power saving with only a small area design overhead.

[1]  Alex Orailoglu,et al.  Reducing test application time through test data mutation encoding , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[2]  Patrick Girard,et al.  A gated clock scheme for low power scan-based BIST , 2001, Proceedings Seventh International On-Line Testing Workshop.

[3]  Youhua Shi,et al.  Low Power Test Compression Technique for Designs with Multiple Scan Chain , 2005, 14th Asian Test Symposium (ATS'05).

[4]  Krishnendu Chakrabarty,et al.  Frequency-directed run-length (FDR) codes with application to system-on-a-chip test data compression , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[5]  Alex Orailoglu,et al.  Test volume and application time reduction through scan chain concealment , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[6]  Nur A. Touba,et al.  Relating entropy theory to test data compression , 2004, Proceedings. Ninth IEEE European Test Symposium, 2004. ETS 2004..

[7]  Nilanjan Mukherjee,et al.  Embedded deterministic test , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  Bashir M. Al-Hashimi,et al.  Variable-length input Huffman coding for system-on-a-chip test , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[9]  Alex Orailoglu,et al.  Decompression hardware determination for test volume and time reduction through unified test pattern compaction and compression , 2003, Proceedings. 21st VLSI Test Symposium, 2003..

[10]  Nur A. Touba,et al.  Using an embedded processor for efficient deterministic testing of systems-on-a-chip , 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

[11]  Nur A. Touba,et al.  Test data compression technique for embedded cores using virtual scan chains , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Nur A. Touba,et al.  Low power test data compression based on LFSR reseeding , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[13]  Krishnendu Chakrabarty,et al.  Test data compression for IP embedded cores using selective encoding of scan slices , 2005, IEEE International Conference on Test, 2005..

[14]  Bashir M. Al-Hashimi,et al.  Test data compression: the system integrator's perspective , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[15]  Krishnendu Chakrabarty,et al.  Combining low-power scan testing and test data compression for system-on-a-chip , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[16]  Lei Li,et al.  Deterministic BIST based on a reconfigurable interconnection network , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[17]  Krishnendu Chakrabarty,et al.  A cocktail approach on random access scan toward low power and high efficiency test , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[18]  Janak H. Patel,et al.  An incremental algorithm for test generation in Illinois scan architecture based designs , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[19]  Nur A. Touba,et al.  An efficient test vector compression scheme using selective Huffman coding , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[20]  Krishnendu Chakrabarty,et al.  System-on-a-chip test-data compression and decompressionarchitectures based on Golomb codes , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[21]  A. Chandra,et al.  Reduction of SOC test data volume, scan power and testing time using alternating run-length codes , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[22]  Krishnendu Chakrabarty,et al.  Nine-coded compression technique with application to reduced pin-count testing and flexible on-chip decompression , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[23]  Sungho Kang,et al.  A New Low Power Test Pattern Generator using a Transition Monitoring Window based on BIST Architecture , 2005, 14th Asian Test Symposium (ATS'05).

[24]  Takaki Yoshida,et al.  MD-SCAN method for low power scan testing , 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..

[25]  Krishnendu Chakrabarty,et al.  A unified approach to reduce SOC test data volume, scan power and testing time , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[26]  A.H. El-Maleh,et al.  Extended frequency-directed run-length code with improved application to system-on-a-chip test data compression , 2002, 9th International Conference on Electronics, Circuits and Systems.

[27]  Mehrdad Nourani,et al.  RL-huffman encoding for test compression and power reduction in scan applications , 2005, TODE.

[28]  Lee Whetsel,et al.  Adapting scan architectures for low power operation , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[29]  Irith Pomeranz,et al.  On reducing test data volume and test application time for multiple scan chain designs , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[30]  Kozo Kinoshita,et al.  On low-capture-power test generation for scan testing , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).