Low-Power Multiport SRAM With Cross-Point Write Word-Lines, Shared Write Bit-Lines, and Shared Write Row-Access Transistors
暂无分享,去创建一个
Ching-Te Chuang | Wei Hwang | Hon-Jarn Lin | Dao-Ping Wang | C. Chuang | W. Hwang | Hon-Jarn Lin | Dao-Ping Wang
[1] M. Yabuuchi,et al. Synchronous Ultra-High-Density 2RW Dual-Port 8T-SRAM With Circumvention of Simultaneous Common-Row-Access , 2009, IEEE Journal of Solid-State Circuits.
[2] Meng-Fan Chang,et al. A large σVTH/VDD tolerant zigzag 8T SRAM with area-efficient decoupled differential sensing and fast write-back scheme , 2010, 2010 Symposium on VLSI Circuits.
[3] Hidehiro Fujiwara,et al. A 28 nm Dual-Port SRAM Macro With Screening Circuitry Against Write-Read Disturb Failure Issues , 2010, IEEE Journal of Solid-State Circuits.
[4] H. Yamauchi,et al. A Stable 2-Port SRAM Cell Design Against Simultaneously Read/Write-Disturbed Accesses , 2008, IEEE Journal of Solid-State Circuits.
[5] Kaushik Roy,et al. Column-selection-enabled 8T SRAM array with ∼1R/1W multi-port operation for DVFS-enabled processors , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.
[6] Meng-Fan Chang,et al. A Large $\sigma $V$_{\rm TH}$/VDD Tolerant Zigzag 8T SRAM With Area-Efficient Decoupled Differential Sensing and Fast Write-Back Scheme , 2011, IEEE Journal of Solid-State Circuits.
[7] K. Nii,et al. A 28-nm dual-port SRAM macro with active bitline equalizing circuitry against write disturb issue , 2010, 2010 Symposium on VLSI Circuits.
[8] Gary S. Ditlow,et al. A 4R2W register file for a 2.3GHz wire-speed POWER™ processor with double-pumped write operation , 2011, 2011 IEEE International Solid-State Circuits Conference.
[9] Wei Hwang,et al. A Two-Write and Two-Read Multi-Port SRAM with Shared Write Bit-Line Scheme and Selective Read Path for Low Power Operation , 2013, J. Low Power Electron..
[10] Dhiraj K. Pradhan,et al. A 2-port 6T SRAM bitcell design with multi-port capabilities at reduced area overhead , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[11] Kaushik Roy,et al. A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.
[12] R.H. Dennard,et al. An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches , 2008, IEEE Journal of Solid-State Circuits.