DRAMSpec: A High-Level DRAM Timing, Power and Area Exploration Tool
暂无分享,去创建一个
[1] Thomas F. Wenisch,et al. Simulating DRAM controllers for future system architecture exploration , 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[2] Bruce Jacob,et al. Memory Systems: Cache, DRAM, Disk , 2007 .
[3] N. Wehn,et al. Power Modelling of 3 D-Stacked Memories with TLM 2 . 0 based Virtual Platforms , 2013 .
[4] Norbert Wehn,et al. Exploiting expendable process-margins in DRAMs for run-time performance optimization , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[5] Norbert Wehn,et al. Towards variation-aware system-level power estimation of DRAMs: An empirical approach , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[6] Onur Mutlu,et al. Ramulator: A Fast and Extensible DRAM Simulator , 2016, IEEE Computer Architecture Letters.
[7] Luca Benini,et al. A Logic-base Interconnect for Supporting Near Memory Computation in the Hybrid Memory Cube , 2014 .
[8] Luca Benini,et al. Exploration and Optimization of 3-D Integrated DRAM Subsystems , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[9] Norbert Wehn,et al. TLM modelling of 3D stacked wide I/O DRAM subsystems: a virtual platform for memory controller design space exploration , 2013, RAPIDO '13.
[10] Young-Hyun Jun,et al. A 1.2 V 12.8 GB/s 2 Gb Mobile Wide-I/O DRAM With 4 $\times$ 128 I/Os Using TSV Based Stacking , 2011, IEEE Journal of Solid-State Circuits.
[11] T. Schloesser,et al. 6F2 buried wordline DRAM cell for 40nm and beyond , 2008, 2008 IEEE International Electron Devices Meeting.
[12] Jung Ho Ahn,et al. CACTI-3DD: Architecture-level modeling for 3D die-stacked DRAM main memory , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[13] Jun-Seok Park,et al. A 1.2 V 30 nm 3.2 Gb/s/pin 4 Gb DDR4 SDRAM With Dual-Error Detection and PVT-Tolerant Data-Fetch Scheme , 2012, IEEE Journal of Solid-State Circuits.
[14] Jan M. Rabaey,et al. Digital Integrated Circuits: A Design Perspective , 1995 .
[15] Maya Gokhale,et al. Hybrid memory cube performance characterization on data-centric workloads , 2015, IA3@SC.
[16] Rajeev Balasubramonian,et al. Exploring a Brink-of-Failure Memory Controller to Design an Approximate Memory System , 2014 .
[17] Bruce Jacob,et al. DRAMSim2: A Cycle Accurate Memory System Simulator , 2011, IEEE Computer Architecture Letters.
[18] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[19] Ding-Ming Kwai,et al. DArT: A Component-Based DRAM Area, Power, and Timing Modeling Tool , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[20] Bruce Jacob,et al. DRAM Device Organization: Basic Circuits and Architecture , 2008 .
[21] Thomas Vogelsang,et al. Understanding the Energy Consumption of Dynamic Random Access Memories , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[22] Kees G. W. Goossens,et al. Improved Power Modeling of DDR SDRAMs , 2011, 2011 14th Euromicro Conference on Digital System Design.
[23] Karthik Chandrasekar,et al. High-Level Power Estimation and Optimization of DRAMs , 2014 .