A fixed-die floorplanning algorithm using an analytical approach

Fixed-die floorplanning is an important problem in the modern physical design process. An effective floorplanning algorithm is crucial to improving both the quality and the time-to-market of the design. In this paper, we present an analytical floorplanning algorithm that can be used to efficiently pack soft modules into a fixed die. The locations and sizing of the modules are simultaneously optimized so that a minimum total wire length is achieved. Experiments on the MCNC and GSRC benchmarks show that our algorithm can achieve above a 90% success rate with a 10% white space constraint in the fixed die, and the efficiency is much higher than that of the simulated annealing based algorithms for benchmarks containing a large number of modules.

[1]  Igor L. Markov,et al.  Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[2]  Ting-Chi Wang,et al.  Optimal floorplan area optimization , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  J. Cong,et al.  Fast floorplanning by look-ahead enabled recursive bipartitioning , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  H. Murata,et al.  Rectangle-packing-based module placement , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[5]  Yoji Kajitani,et al.  Module packing based on the BSG-structure and IC layout applications , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[6]  N. S. Barnett,et al.  Private communication , 1969 .

[7]  Yici Cai,et al.  A non-slicing floorplanning algorithm using corner block list topological representation , 2000, IEEE APCCAS 2000. 2000 IEEE Asia-Pacific Conference on Circuits and Systems. Electronic Communication Systems. (Cat. No.00EX394).

[8]  Yao-Wen Chang,et al.  TCG: a transitive closure graph-based representation for non-slicing floorplans , 2001, DAC '01.

[9]  Chang-Tzu Lin,et al.  Robust fixed-outline floorplanning through evolutionary search , 2004, ASP-DAC.

[10]  Jarrod A. Roy,et al.  Unification of partitioning, placement and floorplanning , 2004, ICCAD 2004.

[11]  Frederick S. Hillier,et al.  Introduction of Operations Research , 1967 .

[12]  Majid Sarrafzadeh,et al.  Fast floorplanning for effective prediction and construction , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[13]  William H. Press,et al.  Numerical recipes in C , 2002 .

[14]  Yao-Wen Chang,et al.  B*-trees: a new representation for non-slicing floorplans , 2000, Proceedings 37th Design Automation Conference.

[15]  Andrew B. Kahng,et al.  Classical floorplanning harmful? , 2000, ISPD '00.

[16]  M. V. Kreveld Computational Geometry , 2000, Springer Berlin Heidelberg.

[17]  Andrew B. Kahng,et al.  Implementation and extensibility of an analytic placer , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[18]  Yao-Wen Chang,et al.  Modern floorplanning based on fast simulated annealing , 2005, ISPD '05.

[19]  Evangeline F. Y. Young,et al.  Handling soft modules in general nonslicing floorplan usingLagrangian relaxation , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[20]  Takeshi Yoshimura,et al.  An O-tree representation of non-slicing floorplan and its applications , 1999, DAC '99.