Detecting a trojan die in 3D stacked integrated circuits

While 3D integrated circuits provide many security advantages, one disadvantage is the insertion of a Trojan die into the stack. In this paper, we explore a technique to detect an extra die through delay analysis.

[1]  Emre Salman,et al.  Hardware security threats and potential countermeasures in emerging 3D ICs , 2016, 2016 International Great Lakes Symposium on VLSI (GLSVLSI).

[2]  Zheng Xu,et al.  Electromagnetic-SPICE modeling and analysis of 3D power network , 2011, 2011 IEEE 61st Electronic Components and Technology Conference (ECTC).

[3]  M. Tehranipoor,et al.  Hardware Trojans: Lessons Learned after One Decade of Research , 2016, TODE.

[4]  Mark Mohammad Tehranipoor,et al.  Security and Vulnerability Implications of 3D ICs , 2016, IEEE Transactions on Multi-Scale Computing Systems.

[5]  Cheng-Wen Wu,et al.  3D-IC interconnect test, diagnosis, and repair , 2013, 2013 IEEE 31st VLSI Test Symposium (VTS).

[6]  Jun Zhou,et al.  BIST Methodology, Architecture and Circuits for Pre-Bond TSV Testing in 3D Stacking IC Systems , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

[7]  Ankur Jain,et al.  Electrical modeling and characterization of through-silicon vias (TSVs) for 3-D integrated circuits , 2008, Microelectron. J..

[8]  Shi-Yu Huang,et al.  In-Situ Method for TSV Delay Testing and Characterization Using Input Sensitivity Analysis , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[9]  A. Hajimiri,et al.  Jitter and phase noise in ring oscillators , 1999, IEEE J. Solid State Circuits.

[10]  Carlos Solari Designing for security , 2007 .

[11]  Kuen-Jong Lee,et al.  3D-IC test architecture for TSVs with different impact ranges of crosstalk faults , 2016, 2016 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

[12]  Giorgio Di Natale,et al.  A 3D IC BIST for pre-bond test of TSVs using ring oscillators , 2013, 2013 IEEE 11th International New Circuits and Systems Conference (NEWCAS).

[13]  Kuen-Jong Lee,et al.  An efficient 3D-IC on-chip test framework to embed TSV testing in memory BIST , 2015, The 20th Asia and South Pacific Design Automation Conference.

[14]  Atsushi Kurokawa,et al.  Impact of on-chip interconnects on vertical signal propagation in 3D ICs , 2014, 2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS).

[15]  Ding-Ming Kwai,et al.  On-chip testing of blind and open-sleeve TSVs for 3D IC before bonding , 2010, 2010 28th VLSI Test Symposium (VTS).

[16]  Mohammad A. Ahmed,et al.  Delay and power optimization with TSV-aware 3D floorplanning , 2014, Fifteenth International Symposium on Quality Electronic Design.

[17]  Carlos C. Solari Designing for security , 2007, Bell Labs Technical Journal.

[18]  Rui Li,et al.  Built-in-Self-Test-Stacked 3-D Ring Oscillator Based on Through Silicon Vias , 2015, IEEE Transactions on Components, Packaging and Manufacturing Technology.