Design Metrics of SRAM Bitcell

This chapter presents the basics of standard 6T SRAM bitcell and simulation setups for measurement of bitcell read and write stability metrics. Different static and dynamic stability metrics are investigated. Static stability metrics includes conventional butterfly curves obtained from the voltage transfer characteristics, the N-curve based metrics and their simulation setup for read and write stability are also discussed. The static stability metrics for large scale dense cache SRAM measured from bitline, wordline and bitcell supply voltage are also presented in this chapter. Apart from static stability metrics commonly used in SRAM design and development, dynamic stability metrics are also presented along with their simulations setups. Detailed simulation results and illustrations for static and dynamic stability metrics are the main focus of this chapter.

[1]  Zheng Guo,et al.  Characterization of Dynamic SRAM Stability in 45 nm CMOS , 2011, IEEE Journal of Solid-State Circuits.

[2]  Sani R. Nassif,et al.  Statistical analysis of SRAM cell stability , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[3]  D. Schmitt-Landsiedel,et al.  Analysis of Read Current and Write Trip Voltage Variability From a 1-MB SRAM Test Structure , 2008, IEEE Transactions on Semiconductor Manufacturing.

[4]  P. J. Tan,et al.  Electrical analysis to fault isolate defects in 6T memory cells , 2002, Proceedings of the 9th International Symposium on the Physical and Failure Analysis of Integrated Circuits (Cat. No.02TH8614).

[5]  S. Kosonocky,et al.  Fluctuation limits & scaling opportunities for CMOS SRAM cells , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[6]  R. Heald,et al.  Variability in sub-100nm SRAM designs , 2004, ICCAD 2004.

[7]  Zheng Guo,et al.  Large-scale read/write margin measurement in 45nm CMOS SRAM arrays , 2008, 2008 IEEE Symposium on VLSI Circuits.

[8]  A.P. Chandrakasan,et al.  Static noise margin variation for sub-threshold SRAM in 65-nm CMOS , 2006, IEEE Journal of Solid-State Circuits.

[9]  Nomura Masahiro,et al.  A Read-Static-Noise-Margin-Free SRAM cell for low-Vdd and High-speed applications , 2005 .

[10]  Dhiraj K. Pradhan,et al.  Failure analysis for ultra low power nano-CMOS SRAM under process variations , 2008, 2008 IEEE International SOC Conference.

[11]  J. Meindl,et al.  The impact of intrinsic device fluctuations on CMOS SRAM cell stability , 2001, IEEE J. Solid State Circuits.

[12]  Jiajing Wang,et al.  Analyzing static and dynamic write margin for nanometer SRAMs , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[13]  N. Planes,et al.  A New Combined Methodology for Write-Margin Extraction of Advanced SRAM , 2007, 2007 IEEE International Conference on Microelectronic Test Structures.

[14]  Kaushik Roy,et al.  Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  C. Wann,et al.  SRAM cell design for stability methodology , 2005, IEEE VLSI-TSA International Symposium on VLSI Technology, 2005. (VLSI-TSA-Tech)..

[16]  Yehea I. Ismail,et al.  Accurate Estimation of SRAM Dynamic Stability , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[17]  E. Seevinck,et al.  Static-noise margin analysis of MOS SRAM cells , 1987 .

[18]  M. Yamaoka,et al.  A cell-activation-time controlled SRAM for low-voltage operation in DVFS SoCs using dynamic stability analysis , 2008, ESSCIRC 2008 - 34th European Solid-State Circuits Conference.

[19]  Borivoje Nikolic,et al.  Large-Scale SRAM Variability Characterization in 45 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.

[20]  K. Takeda,et al.  A read-static-noise-margin-free SRAM cell for low-V/sub dd/ and high-speed applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[21]  C. Radens,et al.  A Sub-600-mV, Fluctuation Tolerant 65-nm CMOS SRAM Array With Dynamic Cell Biasing , 2008, IEEE Journal of Solid-State Circuits.