The impact of interconnect process variations and size effects for gigascale integration
暂无分享,去创建一个
[1] Jinjun Xiong,et al. Buffer insertion considering process variation , 2005, Design, Automation and Test in Europe.
[2] M. Ercken,et al. Determining the impact of statistical fluctuations on resist line edge roughness , 2005 .
[3] Rick A. Rand,et al. Interpretation of rent's rule for ultralarge-scale integrated circuit designs, with an application to wirelength distribution models , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[4] Karen Maex,et al. Influence of the electron mean free path on the resistivity of thin metal films , 2004 .
[5] Duane S. Boning,et al. Arrhenius Characterization of ILD and Copper CMP Processes , 2004 .
[6] Kurt Keutzer,et al. System-Level Performance Modeling with BACPAC - Berkeley Advanced Chip Performance Calculator , 1999 .
[7] D. Boning,et al. Technology scaling impact of variation on clock skew and interconnect delay , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).
[8] H. Hoffmann,et al. Mean free path and effective density of conduction electrons in polycrystalline metal films , 1984 .
[9] W. Steinhögl,et al. Size-dependent resistivity of metallic wires in the mesoscopic range , 2002 .
[10] P. Zarkesh-Ha,et al. Optimum chip clock distribution networks , 1999, Proceedings of the IEEE 1999 International Interconnect Technology Conference (Cat. No.99EX247).
[11] G. Reimbold,et al. Analysis of resistivity in nano-interconnect: full range (4.2-300 K) temperature characterization , 2003, Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695).
[12] K. Torii,et al. A 7 level metallization with Cu damascene process using newly developed abrasive free polishing , 2000, Proceedings of the IEEE 2000 International Interconnect Technology Conference (Cat. No.00EX407).
[13] Sani R. Nassif,et al. Modeling and analysis of manufacturing variations , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).
[14] P. Zarkesh-Ha,et al. Characterization and modeling of clock skew with process variations , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).
[15] Xiaoyu Song,et al. Improving the process-variation tolerance of digital circuits using gate sizing and statistical techniques , 2005, Design, Automation and Test in Europe.
[16] M. Fayolle,et al. Copper CMP evaluation: planarization issues , 1997 .
[17] M. Broekaart,et al. Line resistance behaviour in narrow lines patterned by a TiN hard mask spacer for 45 nm node interconnects , 2004 .
[18] P. Bai,et al. A 65nm logic technology featuring 35nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-k ILD and 0.57 /spl mu/m/sup 2/ SRAM cell , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..
[19] J. Reid,et al. Containing the finite size effect in copper lines , 2006 .
[20] Manfred Engelhardt,et al. Impact of line edge roughness on the resistivity of nanometer-scale interconnects , 2004 .
[21] Manfred Engelhardt,et al. Electrical characterization of copper interconnects with end-of-roadmap feature sizes , 2003 .
[22] Seiichi Kondo,et al. Complete-abrasive-free process for copper damascene interconnection , 2000, Proceedings of the IEEE 2000 International Interconnect Technology Conference (Cat. No.00EX407).
[23] M. Hussein,et al. A 130 nm generation logic technology featuring 70 nm transistors, dual Vt transistors and 6 layers of Cu interconnects , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).
[24] W. Beyer. CRC Standard Mathematical Tables and Formulae , 1991 .
[25] Costas J. Spanos,et al. Modeling within-field gate length spatial variation for process-design co-optimization , 2005, SPIE Advanced Lithography.
[26] Atsuko Yamaguchi,et al. Evaluation of line-edge roughness in Cu/low-k interconnect patterns with CD-SEM , 2009, 2009 IEEE International Interconnect Technology Conference.
[27] S. Ramesh,et al. Impact of interconnect process variations on memory performance and design , 2005, Sixth international symposium on quality electronic design (isqed'05).
[28] Yoshiaki Toyoshima,et al. Methodology of MOSFET characteristics fluctuation description using BSIM3v3 SPICE model for statistical circuit simulations , 1998, IWSM. 1998 3rd International Workshop on Statistical Metrology (Cat. No.98EX113).
[29] Majid Sarrafzadeh,et al. System Level Estimation of Interconnect Length in the Presence of IP Blocks , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[30] Manfred Engelhardt,et al. Electrical assessment of copper damascene interconnects down to sub-50 nm feature sizes , 2002 .
[31] M. Miyama,et al. Circuit performance oriented device optimization using BSIM3 pre-silicon model parameters , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
[32] Mong-Song Liang,et al. Pattern dependence study of copper planarization using linear polisher for 0.13 /spl mu/m applications , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).
[33] J.Y.-C. Sun,et al. Resistance Increase in Metal Nano-wires , 2006, 2006 International Symposium on VLSI Technology, Systems, and Applications.
[34] Leonidas E. Ocola,et al. Resist requirements and limitations for nanoscale electron-beam patterning , 2002 .
[35] James R. Lloyd,et al. Electromigration in integrated circuit conductors , 1999 .
[36] B. Gruzza,et al. Electron inelastic mean free paths (IMFPs) in binary Au–Cu alloys determined by elastic peak electron spectroscopy , 2001 .
[37] H. Kitada,et al. The influence of the size effect of copper interconnects on RC delay variability beyond 45nm technology , 2007, 2007 IEEE International Interconnect Technology Conferencee.
[38] Keith A. Bowman,et al. A minimum total power methodology for projecting limits on CMOS GSI , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[39] Hidetoshi Onodera,et al. A statistical gate delay model for intra-chip and inter-chip variabilities , 2003, ASP-DAC '03.
[40] H. B. Bakoglu,et al. Circuits, interconnections, and packaging for VLSI , 1990 .
[41] Kousuke Okuyama,et al. Statistical BSIM3 model parameter extraction and fast/slow model parameter determination for high speed SRAM parametric yield estimation , 2000, 2000 5th International Workshop on Statistical Metrology (Cat.No.00TH8489.
[42] Baozhen Li,et al. Reliability challenges for copper interconnects , 2004, Microelectron. Reliab..
[43] S. G. Duvall,et al. Statistical circuit modeling and optimization , 2000, 2000 5th International Workshop on Statistical Metrology (Cat.No.00TH8489.
[44] T. Lu,et al. Real time copper resistivity measurements during sputter deposition , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).
[45] Doris Schmitt-Landsiedel,et al. Effect of parameter variations at chip and wafer level on clock skews , 2000 .
[46] U.I. Chung,et al. A Highly Reliable Cu Interconnect Technology for Memory Device , 2007, 2007 IEEE International Interconnect Technology Conferencee.
[47] Hyungsoo Choi,et al. Universal approach to accurate resistivity measurement for a single nanowire: Theory and application , 2006 .
[48] L. L. Levenson. Grain boundary diffusion activation energy derived from surface roughness measurements of aluminum thin films , 1989 .
[49] Andrea Neviani,et al. Analysis of the impact of process variations on clock skew , 2000 .
[50] Bo Yao,et al. Resistivity Size Effect in Encapsulated Cu Thin Films , 2008, 2008 International Interconnect Technology Conference.
[51] Rick A. Rand,et al. Microminiature packaging and integrated circuitry: The work of E. F. Rent, with an application to on-chip interconnection requirements , 2005, IBM J. Res. Dev..
[52] R. Murali,et al. The Impact of Size Effects and Copper Interconnect Process Variations on the Maximum Critical Path Delay of Single and Multi-Core Microprocessors , 2007, 2007 IEEE International Interconnect Technology Conferencee.
[53] G. Schindler,et al. Investigation of nano interconnects for an early experimental assessment of future interconnect challenges , 2004, 2004 International Conference on Integrated Circuit Design and Technology (IEEE Cat. No.04EX866).
[54] Reduced density of effective electrons in metal films , 1982 .
[55] P. Yang,et al. Multilevel metal capacitance models for CAD design synthesis systems , 1992, IEEE Electron Device Letters.
[56] William E. Spicer,et al. Mean Free Path of Hot Electrons and Holes in Metals , 1963 .
[57] M Y L Wisniewski,et al. Estimating the efficiency of collaborative problem-solving, with applications to chip design , 2003, IBM J. Res. Dev..
[58] Krishna C. Saraswat,et al. Realistic copper interconnect performance with technological constraints , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).
[59] L. Froyen,et al. Microstructure and resistivity characterization of CuAu I superlattice formed in Cu∕Au thin films , 2004 .
[60] Manfred Engelhardt,et al. Impact of Annealing on the Resistivity of Ultrafine Cu Damascene Interconnects , 2003 .
[61] M. Eizenberg,et al. The effect of surface roughness on the resistivity increase in nanometric dimensions , 2006 .
[62] R. H. Havemann,et al. Line width dependence of copper resistivity , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).
[63] J.D. Meindl,et al. Optimal interconnection circuits for VLSI , 1985, IEEE Transactions on Electron Devices.
[64] Roy L. Russo,et al. On a Pin Versus Block Relationship For Partitions of Logic Graphs , 1971, IEEE Transactions on Computers.
[65] R. Murali,et al. Resistivity of Graphene Nanoribbon Interconnects , 2009, IEEE Electron Device Letters.
[66] James D. Meindl,et al. Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.
[67] M. Stucchi,et al. Impact of LER on BEOL dielectric reliability: A quantitative model and experimental validation , 2009, 2009 IEEE International Interconnect Technology Conference.
[68] Andrew B. Kahng,et al. Subwavelength lithography and its potential impact on design and EDA , 1999, DAC '99.
[70] Kapila Wijekoon,et al. Copper CMP integration and time dependent pattern effect , 1999, Proceedings of the IEEE 1999 International Interconnect Technology Conference (Cat. No.99EX247).
[71] Takayasu Sakurai,et al. Closed-form expressions for interconnection delay, coupling, and crosstalk in VLSIs , 1993 .
[72] D. Schmitt-Landsiedel,et al. Measurement and simulation of interconnect capacitance variations , 2000, 2000 5th International Workshop on Statistical Metrology (Cat.No.00TH8489.
[73] P. Bai,et al. A high performance 180 nm generation logic technology , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).
[74] W. Zhang,et al. Impact of line edge roughness on copper interconnects , 2006 .
[75] W. Steinhögl,et al. Comprehensive study of the resistivity of copper wires with lateral dimensions of 100 nm and smaller , 2005 .
[76] R. Havemann,et al. Optimization of annealing conditions for dual damascene Cu microstructures and via chain yields , 2001, 2001 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.01 CH37184).
[77] S.R. Nassif. Within-chip variability analysis , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).
[78] Keith Alan Bowman. A circuit-level perspective of opportunities and limitations for Gigascale Integration (GSI) , 2001 .
[79] J. Watts,et al. Timing qualification of a 0.25-/spl mu/m CMOS ASIC library using BSIM3 FET models , 1998, Proceedings of the IEEE 1998 Custom Integrated Circuits Conference (Cat. No.98CH36143).
[80] Pong-Fei Lu,et al. Physical design of a fourth-generation POWER GHz microprocessor , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).
[81] Reza Sarvari,et al. On the study of anomalous skin effect for GSI interconnections , 2003, Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695).
[82] M. Shatzkes,et al. ELECTRICAL RESISTIVITY MODEL FOR POLYCRYSTALLINE FILMS: THE CASE OF SPECULAR REFLECTION AT EXTERNAL SURFACES , 1969 .
[83] John J. Plombon,et al. Influence of phonon, geometry, impurity, and grain size on Copper line resistivity , 2006 .
[84] T. Sakurai,et al. Simple formulas for two- and three-dimensional capacitances , 1983, IEEE Transactions on Electron Devices.
[85] G. Schindler,et al. Scaling laws for the resistivity increase of sub-100 nm interconnects , 2003, International Conference on Simulation of Semiconductor Processes and Devices, 2003. SISPAD 2003..
[86] J. Meindl,et al. A new physical model and experimental measurements of copper interconnect resistivity considering size effects and line-edge roughness (LER) , 2009, 2009 IEEE International Interconnect Technology Conference.
[87] T. Hara,et al. Low resistivity copper interconnection layers , 2004, Proceedings. 7th International Conference on Solid-State and Integrated Circuits Technology, 2004..
[88] R. Jaeger. Introduction to microelectronic fabrication , 1987 .
[89] S. Nassif,et al. Delay variability: sources, impacts and trends , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[90] Y. Namba,et al. Resistivity and Temperature Coefficient of Thin Metal Films with Rough Surface , 1970 .
[91] E. H. Sondheimer,et al. The mean free path of electrons in metals , 1952 .
[92] Kazuhiro Ito,et al. Electrical resistivity of polycrystalline Cu interconnects with nano-scale linewidth , 2006 .
[93] Rick A. Rand,et al. Assessment of on-chip wire-length distribution models , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[94] Jayanthi Pallinti,et al. Electrical characterization of the copper CMP process and derivation of metal layout rules , 2003 .
[95] Scaling of parasitics and delay times in the backend-of-line , 2003 .
[96] Connie M. Borror,et al. Probability and Statistics for Engineering and the Sciences, 5th Ed. , 2002 .
[97] M. Bohr,et al. 90 nm generation, 300 mm wafer low k ILD/Cu interconnect technology , 2003, Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695).
[98] D. Gardner,et al. Influence of line dimensions on the resistance of Cu interconnections , 1998, IEEE Electron Device Letters.
[99] Martin Weiss,et al. Low-k interconnect stack with a novel self-aligned via patterning process for 32nm high volume manufacturing , 2009, 2009 IEEE International Interconnect Technology Conference.
[100] C. Machala,et al. Predictive BSIM3v3 modeling for the 0.15-0.18 /spl mu/m CMOS technology node: a process DOE based approach , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).
[101] R. Chau,et al. A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging , 2007, 2007 IEEE International Electron Devices Meeting.
[102] D. Schmitt-Landsiedel,et al. Clock skew determination from parameter variations at chip and wafer level , 1999, 1999 4th International Workshop on Statistical Metrology (Cat. No.99TH8391).
[103] Payman Zarkesh-Ha,et al. Impact of interconnect pattern density information on a 90 nm technology ASIC design flow , 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..
[104] Mitsuru Hiraki,et al. Pre-silicon parameter generation methodology using BSIM3 for circuit performance-oriented device optimization , 2001 .
[105] Emmanuel Yashchin,et al. The physical design of on-chip interconnections , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[106] J. Petrovick,et al. The circuit and physical design of the POWER4 microprocessor , 2002, IBM J. Res. Dev..
[107] Costas J. Spanos,et al. Modeling within-die spatial correlation effects for process-design co-optimization , 2005, Sixth international symposium on quality electronic design (isqed'05).
[108] P. Zarkesh-Ha,et al. Backend process optimization for 90 nm high-density ASIC chips , 2003, Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695).
[109] Art B. Owen,et al. Using simulators to model transmitted variability in IC manufacturing , 1989 .
[110] Sani R. Nassif,et al. Modeling and forecasting of manufacturing variations , 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).
[111] J. Gelatos,et al. Cu Resistivity Scaling Limits for 20nm Copper Damascene Lines , 2007, 2007 IEEE International Interconnect Technology Conferencee.
[112] W. Dai,et al. Timing analysis taking into account interconnect process variation , 2001, 2001 6th International Workshop on Statistical Methodology (Cat. No.01TH8550).
[113] G. Bersuker,et al. ELECTRICAL CHARACTERIZATION OF COPPER CHEMICAL MECHANICAL POLISHING , 1999 .