Economizing TSV Resources in 3-D Network-on-Chip Design

The confluence of 3-D integration and network-on-chip (NoC) provides an effective solution to the scalability problem of on-chip interconnects. In 3-D integration, through-silicon via (TSV) is considered to be the most promising bonding technology. However, TSVs are also precious link resources because they consume significant chip area and possibly lead to routing congestion in the physical design stage. In addition, TSVs suffer from serious yield losses that shrink the effective TSV density. Thus, it is necessary to implement a TSV-economical 3-D NoC architecture in cost-effective design. For symmetric 3-D mesh NoCs, we observe that the TSVs bandwidth utilization is low and they rarely become the contention spots in networks as planar links. Based on this observation, we propose the TSV sharing (TS) scheme to save TSVs in 3-D NoC by enabling neighboring routers to share the vertical channels in a time division multiplexing way. We also investigate different TS implementation alternatives and show how TS improves TSV-effectiveness (TE) in multicore processors through a design space exploration. In experiments, we comprehensively evaluate TSs influence on all layers of system. It is shown that the proposed method significantly promotes TE with negligible performance overhead.

[1]  Qiang Xu,et al.  On Topology Reconfiguration for Defect-Tolerant NoC-Based Homogeneous Manycore Systems , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  G. Smith,et al.  Yield considerations in the choice of 3D technology , 2007, 2007 International Symposium on Semiconductor Manufacturing.

[3]  Hannu Tenhunen,et al.  Extending systems-on-chip to the third dimension: performance, cost and technological tradeoffs , 2007, ICCAD 2007.

[4]  Andrew A. Chien,et al.  Planar-adaptive routing: low-cost adaptive networks for multiprocessors , 1992, ISCA '92.

[5]  Axel Jantsch,et al.  TDM Virtual-Circuit Configuration for Network-on-Chip , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[7]  Qiang Xu,et al.  On effective TSV repair for 3D-stacked ICs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[8]  Krishnendu Chakrabarty,et al.  Pre-bond testing of die logic and TSVs in high performance 3D-SICs , 2012, 2011 IEEE International 3D Systems Integration Conference (3DIC), 2011 IEEE International.

[9]  Hannu Tenhunen,et al.  Exploring partitioning methods for 3D Networks-on-Chip utilizing adaptive routing model , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[10]  Nisha Checka,et al.  Technology, performance, and computer-aided design of three-dimensional integrated circuits , 2004, ISPD '04.

[11]  Chita R. Das,et al.  A case for heterogeneous on-chip interconnects for CMPs , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[12]  Jun Yang,et al.  Thermal-Aware Task Scheduling for 3D Multicore Processors , 2010, IEEE Transactions on Parallel and Distributed Systems.

[13]  Henry Hoffmann,et al.  On-Chip Interconnection Architecture of the Tile Processor , 2007, IEEE Micro.

[14]  Rohit Kapur,et al.  3D-Scalable Adaptive Scan (3D-SAS) , 2012, 2011 IEEE International 3D Systems Integration Conference (3DIC), 2011 IEEE International.

[15]  Jian Xu,et al.  Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.

[16]  Partha Pratim Pande,et al.  Performance Evaluation for Three-Dimensional Networks-On-Chip , 2007, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07).

[17]  Yuan Xie,et al.  Processor Design in 3D Die-Stacking Technologies , 2007, IEEE Micro.

[18]  Ki Hwan Yum,et al.  A Domain-Specific On-Chip Network Design for Large Scale Cache Systems , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

[19]  Jun Yang,et al.  A low-radix and low-diameter 3D interconnection network design , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[20]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[21]  L. Arnaud,et al.  Resistance increase due to electromigration induced depletion under TSV , 2011, 2011 International Reliability Physics Symposium.

[22]  Sherief Reda,et al.  Strategies for improving the parametric yield and profits of 3D ICs , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[23]  Paul E. Hasler,et al.  A MITE-Based Translinear FPAA , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[24]  Eby G. Friedman,et al.  Three-dimensional Integrated Circuit Design , 2008 .

[25]  Sudeep Pasricha,et al.  Exploring serial vertical interconnects for 3D ICs , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[26]  Sung Kyu Lim,et al.  TSV Stress-Aware Full-Chip Mechanical Reliability Analysis and Optimization for 3-D IC , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Niraj K. Jha,et al.  Garnet : A Detailed Interconnect Model Inside a Full-System Simulation Framework , .

[28]  Kia Bazargan,et al.  Placement and routing in 3D integrated circuits , 2005, IEEE Design & Test of Computers.

[29]  Kees G. W. Goossens,et al.  dAElite: A TDM NoC Supporting QoS, Multicast, and Fast Connection Set-Up , 2014, IEEE Transactions on Computers.

[30]  Simon W. Moore,et al.  Low-latency virtual-channel routers for on-chip networks , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

[31]  Milo M. K. Martin,et al.  Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset , 2005, CARN.

[32]  Huawei Li,et al.  An abacus turn model for time/space-efficient reconfigurable routing , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[33]  TingTing Hwang,et al.  TSV Redundancy: Architecture and Design Issues in 3-D IC , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[34]  C. Nicopoulos,et al.  Design and Management of 3D Chip Multiprocessors Using Network-in-Memory , 2006, ISCA 2006.

[35]  Gang Huang,et al.  Power Delivery for 3-D Chip Stacks: Physical Modeling and Design Implication , 2012, IEEE Transactions on Components, Packaging and Manufacturing Technology.

[36]  Niraj K. Jha,et al.  Express virtual channels: towards the ideal interconnection fabric , 2007, ISCA '07.

[37]  Sung Kyu Lim,et al.  Multi-Objective Microarchitectural Floorplanning For 2 D And 3 D ICs , 2006 .

[38]  Fangming Ye,et al.  TSV open defects in 3D integrated circuits: Characterization, test, and optimal spare allocation , 2012, DAC Design Automation Conference 2012.

[39]  Chita R. Das,et al.  MIRA: A Multi-layered On-Chip Interconnect Router Architecture , 2008, 2008 International Symposium on Computer Architecture.

[40]  William J. Dally,et al.  Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.

[41]  G. Deptuch,et al.  Vertically Integrated Circuits at Fermilab , 2010, IEEE Transactions on Nuclear Science.

[42]  Rakesh Kumar,et al.  Magellan: A Search and Machine Learning-based Framework for Fast Multi-core Design Space Exploration and Optimization , 2008, 2008 Design, Automation and Test in Europe.

[43]  Gang Huang,et al.  Power Delivery for 3D Chip Stacks: Physical Modeling and Design Implication , 2007, 2007 IEEE Electrical Performance of Electronic Packaging.

[44]  张磊,et al.  RevivePath: Resilient Network-on-Chip Design Through Data Path Salvaging of Router , 2013 .

[45]  Yuan Xie,et al.  Cost-aware three-dimensional (3D) many-core multiprocessor design , 2010, Design Automation Conference.

[46]  Qiang Xu,et al.  Defect Tolerance in Homogeneous Manycore Processors Using Core-Level Redundancy with Unified Topology , 2008, 2008 Design, Automation and Test in Europe.

[47]  Li Shang,et al.  Transaction-Aware Network-on-Chip Resource Reservation , 2008, IEEE Computer Architecture Letters.

[48]  Chita R. Das,et al.  A novel dimensionally-decomposed router for on-chip communication in 3D architectures , 2007, ISCA '07.

[49]  Sung Kyu Lim,et al.  Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[50]  Hannu Tenhunen,et al.  Cluster-based topologies for 3D stacked architectures , 2011, CF '11.

[51]  Yiyu Shi,et al.  Thermal Via Allocation for 3-D ICs Considering Temporally and Spatially Variant Thermal Power , 2008, IEEE Trans. Very Large Scale Integr. Syst..

[52]  Luca Benini,et al.  A low-overhead fault tolerance scheme for TSV-based 3D network on chip links , 2008, ICCAD 2008.