Variation-Aware TED-Based Approach for Nano-CMOS RTL Leakage Optimization

As technology scales down to nanometer regime the process variations have profound effect on circuit characteristics. Meeting timing and power constraints under such process variations in nano-CMOS circuit design is increasingly difficult. This causes a shifting from worst-case based analysis and optimization to statistical or probability based analysis and optimization at every level of circuit abstraction. This paper presents a TED (Taylor Expansion Diagram) based multi ? Tox techniques during high-level synthesis (HLS). A variation-aware simultaneous scheduling and resource binding algorithm is proposed which maximizes the power yield under timing yield and performance constraint. For this purpose, a multi ? Tox library is characterized under process variation. The delay and power distribution of different functional units are exhaustively studied. The proposed variation-aware algorithm uses those components for generating low power RTL under a given timing yield and performance constraint. The experimental results show significant improvement as high as 95% on leakage power yield under given constraints.

[1]  Yuan Xie,et al.  Tolerating process variations in high-level synthesis using transparent latches , 2009, 2009 Asia and South Pacific Design Automation Conference.

[2]  Emmanuel Boutillon,et al.  High-Level Dataflow Transformations Using Taylor Expansion Diagrams , 2009, IEEE Design & Test of Computers.

[3]  James Tschanz,et al.  Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[4]  Anish Muttreja,et al.  Variability-Tolerant Register-Transfer Level Synthesis , 2008, 21st International Conference on VLSI Design (VLSID 2008).

[5]  Yuan Xie,et al.  A Variation Aware High Level Synthesis Framework , 2008, 2008 Design, Automation and Test in Europe.

[6]  David Blaauw,et al.  Statistical Analysis and Optimization for VLSI: Timing and Power , 2005, Series on Integrated Circuits and Systems.

[7]  Kelin Kuhn,et al.  Managing Process Variation in Intel’s 45nm CMOS Technology , 2008 .

[8]  K. Usami,et al.  Low-power design methodology and applications utilizing dual supply voltages , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

[9]  Priyank Kalla,et al.  Taylor Expansion Diagrams: A Canonical Representation for Verification of Data Flow Designs , 2006, IEEE Transactions on Computers.

[10]  Yuan Xie,et al.  Guaranteeing Performance Yield in High-Level Synthesis , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[11]  Randal E. Bryant,et al.  Graph-Based Algorithms for Boolean Function Manipulation , 1986, IEEE Transactions on Computers.

[12]  Taewhan Kim,et al.  Timing variation-aware high level synthesis: Current results and research challenges , 2008, APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems.

[13]  Yuan Taur,et al.  CMOS design near the limit of scaling , 2002 .

[14]  Taewhan Kim,et al.  Timing variation-aware high-level synthesis , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[15]  Yu Wang,et al.  Parametric yield driven resource binding in behavioral synthesis with multi-Vth/Vdd library , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[16]  Saraju P. Mohanty,et al.  Simultaneous Power Fluctuation and Average Power Minimization during Nano-CMOS Behavioral Synthesis , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[17]  Saraju P. Mohanty,et al.  Low-Power High-Level Synthesis for Nanoscale CMOS Circuits , 2008 .

[18]  Kaushik Roy,et al.  A process-tolerant cache architecture for improved yield in nanoscale technologies , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[19]  Randal E. Bryant,et al.  Verification of Arithmetic Circuits with Binary Moment Diagrams , 1995, 32nd Design Automation Conference.

[20]  Yuan Xie,et al.  Statistical High-Level Synthesis under Process Variability , 2009, IEEE Design & Test of Computers.

[21]  Dhiraj K. Pradhan,et al.  Simultaneous scheduling and binding for low gate leakage nano-complementary metaloxide-semiconductor data path circuit behavioural synthesis , 2008, IET Comput. Digit. Tech..

[22]  Niraj K. Jha,et al.  Leakage power analysis and reduction during behavioral synthesis , 2002, Proceedings 2000 International Conference on Computer Design.

[23]  D. K. Pradhan,et al.  A Taylor Expansion Diagram Approach for Nano-CMOS RTL Leakage Optimization , 2010, 2010 International Symposium on Electronic System Design.

[24]  David Harris,et al.  CMOS VLSI Design: A Circuits and Systems Perspective , 2004 .

[25]  Wen-Tsong Shiue High level synthesis for peak power minimization using ILP , 2000, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors.

[26]  Azadeh Davoodi,et al.  Power-driven simultaneous resource binding and floorplanning: a probabilistic approach , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.