Networking Challenges and Prospective Impact of Broadcast-Oriented Wireless Networks-on-Chip

The cost of broadcast has been constraining the design of manycore processors and of the algorithms that run upon them. However, as on-chip RF technologies allow the design of small-footprint and high-bandwidth antennas and transceivers, native low-latency (a few clock cycles) and low-power (a few pJ/bit) broadcast support through wireless communication can be envisaged. In this paper, we analyze the main networking design aspects and challenges of Broadcast-oriented Wireless Network-on-Chip (BoWNoC), which are basically reduced to the development of Medium Access Control (MAC) protocols able to handle hundreds of cores. We evaluate the broadcast performance and scalability of different MAC designs, to then discuss the impact that the proposed paradigm could exert on the performance, scalability and programmability of future manycore architectures, programming models and parallel algorithms.

[1]  David Matolak,et al.  Wireless networks-on-chips: architecture, wireless channel, and devices , 2012, IEEE Wireless Communications.

[2]  David W. Matolak,et al.  A-WiNoC: Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors , 2015, IEEE Transactions on Parallel and Distributed Systems.

[3]  David W. Matolak,et al.  Energy-efficient adaptive wireless NoCs architecture , 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[4]  Milo M. K. Martin,et al.  Token Coherence: decoupling performance and correctness , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..

[5]  Terrence S. T. Mak,et al.  Hybrid wire-surface wave interconnects for next-generation networks-on-chip , 2013, IET Comput. Digit. Tech..

[6]  KozyrakisChristos,et al.  An analysis of on-chip interconnection networks for large-scale chip multiprocessors , 2010 .

[7]  Kiyoung Choi,et al.  Exploiting New Interconnect Technologies in On-Chip Communication , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[8]  Natalie D. Enright Jerger,et al.  Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support , 2008, 2008 International Symposium on Computer Architecture.

[9]  Benny Sheinman,et al.  On-Chip Millimeter Wave Antennas and Transceivers , 2015, NOCS.

[10]  Radu Marculescu,et al.  "It's a small world after all": NoC performance optimization via long-range link insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  George Kurian,et al.  ATAC: Improving performance and programmability with on-chip optical networks , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

[12]  Christof Teuscher,et al.  Scalable Hybrid Wireless Network-on-Chip Architectures for Multicore Systems , 2011, IEEE Transactions on Computers.

[13]  Jeffrey S. Vetter,et al.  An Empirical Performance Evaluation of Scalable Scientific Applications , 2002, ACM/IEEE SC 2002 Conference (SC'02).

[14]  David W. Matolak,et al.  A New Frontier in Ultralow Power Wireless Links: Network-on-Chip and Chip-to-Chip Interconnects , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  Christopher Batten,et al.  Designing Chip-Level Nanophotonic Interconnection Networks , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[16]  W. Hong,et al.  Silicon-based on-chip antenna design for millimeter-wave/THz applications , 2011, 2011 IEEE Electrical Design of Advanced Packaging and Systems Symposium (EDAPS).

[17]  David Blaauw,et al.  Scaling towards kilo-core processors with asymmetric high-radix topologies , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

[18]  Shahriar Mirabbasi,et al.  Architecture and Design of Multichannel Millimeter-Wave Wireless NoC , 2014, IEEE Design & Test.

[19]  Anantha Chandrakasan,et al.  SCORPIO: A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

[20]  David A. Patterson,et al.  Computer Architecture, Fifth Edition: A Quantitative Approach , 2011 .

[21]  David A. Patterson,et al.  Computer Architecture: A Quantitative Approach , 1969 .

[22]  C. Dimitrakopoulos,et al.  State-of-the-art graphene high-frequency electronics. , 2012, Nano letters.

[23]  Walter Willinger,et al.  On the self-similar nature of Ethernet traffic , 1993, SIGCOMM '93.

[24]  José L. Abellán,et al.  Efficient Hardware Barrier Synchronization in Many-Core CMPs , 2012, IEEE Transactions on Parallel and Distributed Systems.

[25]  George Kurian,et al.  ATAC: A 1000-core cache-coherent processor with on-chip optical network , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

[26]  Jason Cong,et al.  A scalable micro wireless interconnect structure for CMPs , 2009, MobiCom '09.

[27]  Eduard Alarcón,et al.  Graphene-enabled wireless communication for massive multicore architectures , 2013, IEEE Communications Magazine.

[28]  Milos Prvulovic,et al.  Traffic steering between a low-latency unswitched TL ring and a high-throughput switched on-chip interconnect , 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.

[29]  Amlan Ganguly,et al.  Reconfigurable Wireless Network-on-Chip with a Dynamic Medium Access Mechanism , 2015, NOCS.

[30]  Anantha Chandrakasan,et al.  Approaching the theoretical limits of a mesh NoC with a 16-node chip prototype in 45nm SOI , 2012, DAC Design Automation Conference 2012.

[31]  N. Binkert,et al.  Atomic Coherence: Leveraging nanophotonics to build race-free cache coherence protocols , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[32]  Alberto Ros,et al.  Cache Coherence Protocols for Many-Core CMPs , 2010 .

[33]  Partha Pratim Pande,et al.  Enhancing performance of wireless NoCs with distributed MAC protocols , 2015, Sixteenth International Symposium on Quality Electronic Design.

[34]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[35]  Li-Shiuan Peh,et al.  Smart: Single-Cycle Multihop Traversals over a Shared Network on Chip , 2014, IEEE Micro.

[36]  Davide Bertozzi,et al.  The fast evolving landscape of on-chip communication , 2015, Des. Autom. Embed. Syst..

[37]  Milos Prvulovic,et al.  TLSync: Support for multiple fast barriers using on-chip transmission lines , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[38]  Partha Pratim Pande,et al.  Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[39]  Josep Torrellas,et al.  EnergySmart: Toward energy-efficient manycores for Near-Threshold Computing , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

[40]  Li-Shiuan Peh,et al.  A Statistical Traffic Model for On-Chip Interconnection Networks , 2006, 14th IEEE International Symposium on Modeling, Analysis, and Simulation.

[41]  Li-Shiuan Peh,et al.  Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[42]  Li-Shiuan Peh,et al.  Single-cycle collective communication over a shared network fabric , 2014, 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[43]  George Michelogiannakis,et al.  An analysis of on-chip interconnection networks for large-scale chip multiprocessors , 2010, TACO.

[44]  Eduard Alarcón,et al.  On the Area and Energy Scalability of Wireless Network-on-Chip: A Model-Based Benchmarked Design Space Exploration , 2015, IEEE/ACM Transactions on Networking.

[45]  Luca P. Carloni,et al.  PhoenixSim: A simulator for physical-layer analysis of chip-scale photonic interconnection networks , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[46]  Eduard Alarcón,et al.  Multicast On-chip Traffic Analysis Targeting Manycore NoC Design , 2015, 2015 23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing.

[47]  Ruonan Han,et al.  Progress and Challenges Towards Terahertz CMOS Integrated Circuits , 2010, IEEE Journal of Solid-State Circuits.

[48]  Avinoam Kolodny,et al.  Best of both worlds: A bus enhanced NoC (BENoC) , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.