Variability and reliability aware surrogate model for sensing delay analysis of SRAM sense amplifier

Variability and reliability have become major threats in nano scale era. Both leads to variation in transistor parameters that eventually affect the performance parameters. One of the failure mechanism is Bias Temperature Instability (BTI) which impacts mobility and threshold voltage (Vth) of the transistor. This paper investigates the impact of BTI on SRAM sense amplifier at different process corners along with variability effect in 45nm technology. The results depict that, impact of Positive BTI (PBTI) is less than Negative BTI (NBTI) on sensing delay of sense amplifier. Moreover across all process corners sensing delay increases as supply voltage (Vbd) decreases and temperature increases. A surrogate model has been developed by using support vector machine (SVM) for variability and reliability analysis of sensing delay. Adaptive learning is used in order to develop the model with less number of samples which attributes to less run time. Evaluation of single sample of sensing delay requires 0.077ms and 0.081ms for read 0 and read 1 respectively. Correlation coefficient has been obtained between HSPICE and SVM in order to validate the model. The values of correlation coefficients are 0.9996 and 0.9997 for read 0 and read 1 respectively.

[2]  M. B. Steer,et al.  Surrogate-Model-Based Analysis of Analog Circuits—Part I: Variability Analysis , 2011, IEEE Transactions on Device and Materials Reliability.

[3]  Weidong Liu,et al.  An accurate MOSFET aging model for 28 nm integrated circuit simulation , 2012, Microelectron. Reliab..

[4]  Saturnino Luz,et al.  An Adaptive Pre-filtering Technique for Error-Reduction Sampling in Active Learning , 2008, 2008 IEEE International Conference on Data Mining Workshops.

[5]  M. B. Steer,et al.  Surrogate-Model-Based Analysis of Analog Circuits—Part II: Reliability Analysis , 2011, IEEE Transactions on Device and Materials Reliability.

[6]  Shawn E. Gano,et al.  Comparison of Three Surrogate Modeling Techniques: Datascape r , Kriging, and Second Order Regression , 2006 .

[7]  Sachin S. Sapatnekar,et al.  Body Bias Voltage Computations for Process and Temperature Compensation , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[8]  B.C. Paul,et al.  Impact of NBTI on the temporal performance degradation of digital circuits , 2005, IEEE Electron Device Letters.

[9]  M. B. Steer,et al.  Analog Negative-Bias-Temperature-Instability Monitoring Circuit , 2012, IEEE Transactions on Device and Materials Reliability.

[10]  Georges G. E. Gielen,et al.  Efficient Variability-Aware NBTI and Hot Carrier Circuit Reliability Analysis , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  Vineet Sahula,et al.  Variability aware SVM macromodel based design centering of analog circuits , 2012 .

[12]  Atila Alvandpour,et al.  High-performance and low-voltage sense-amplifier techniques for sub-90nm SRAM , 2003, IEEE International [Systems-on-Chip] SOC Conference, 2003. Proceedings..

[13]  Ulf Schlichtmann,et al.  Efficiently analyzing the impact of aging effects on large integrated circuits , 2012, Microelectron. Reliab..

[14]  Dharmendar Boolchandani,et al.  Reliability-Aware Support Vector Machine-Based High-Level Surrogate Model for Analog Circuits , 2015, IEEE Transactions on Device and Materials Reliability.

[15]  D. Schmitt-Landsiedel,et al.  A 65nm test structure for the analysis of NBTI induced statistical variation in SRAM transistors , 2008, ESSDERC 2008 - 38th European Solid-State Device Research Conference.