Statistical full-chip total power estimation considering spatially correlated process variations

In this paper, we propose an efficient statistical full-chip total power estimation method considering process variations with spatial correlation. Traditionally, dynamic power and leakage power were computed separately as leakage power is more susceptible to process variations. But in the end, it is total power that designers will be concerned with. We propose a new method to compute the statistical total power via circuit level simulation under realistic input testing vectors. To consider the process variations with spatial correlation, we first apply principle factor analysis method (PFA) or its weighted version (wPFA) to transform the correlated variables into uncorrelated ones and meanwhile reduce the number of resulting random variables. Afterwards, Hermite orthogonal polynomials and sparse grid techniques are used to estimate total power distribution in a sampling way. The proposed method has no restrictions on models of statistical distributions for total powers. The method works well when strong spatial correlation exists among random variables in the chip. Experimental results show that the proposed method has 100X times speedup than the Monte Carlo method under fixed input vector and 20X times speedup than the Monte Carlo method considering both random input vectors and process variations with spatial correlation.

[1]  Sheldon X.-D. Tan,et al.  An efficient statistical chip-level total power estimation method considering process variations with spatial correlation , 2011, 2011 12th International Symposium on Quality Electronic Design.

[2]  Janet Roveda,et al.  Efficient statistical capacitance variability modeling with orthogonal principle factor analysis , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[3]  Vishwani D. Agrawal,et al.  Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations , 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

[4]  Kaushik Roy,et al.  Maximum power estimation for CMOS circuits using deterministic and statistical approaches , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[5]  Kurt Keutzer,et al.  Estimation of average switching activity in combinational and sequential circuits , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[6]  Chi-Ying Tsui,et al.  Gate-level power estimation using tagged probabilistic simulation , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[7]  Sachin S. Sapatnekar,et al.  Full-chip analysis of leakage power under process variations, including spatial correlations , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[8]  David Blaauw,et al.  Statistical analysis of subthreshold leakage current for VLSI circuits , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[9]  Vishwani D. Agrawal,et al.  Enhanced dual-transition probabilistic power estimation with selective supergate analysis , 2005, 2005 International Conference on Computer Design.

[10]  Jinjun Xiong,et al.  Robust Extraction of Spatial Correlation , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[11]  Martin D. F. Wong,et al.  Dynamic power estimation for deep submicron circuits with process variation , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

[12]  Lawrence T. Pileggi,et al.  Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[13]  Kaushik Roy,et al.  Accurate estimation and modeling of total chip leakage considering inter- & intra-die process variations , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[14]  S. Nassif,et al.  Delay variability: sources, impacts and trends , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[15]  R. Ghanem,et al.  Stochastic Finite Elements: A Spectral Approach , 1990 .

[16]  K. Ritter,et al.  Simple Cubature Formulas with High Polynomial Exactness , 1999 .

[17]  Wenjian Yu,et al.  Variational capacitance extraction of on-chip interconnects based on continuous surface model , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[18]  Massoud Pedram,et al.  Improving the efficiency of Monte Carlo power estimation [VLSI] , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[19]  Sachin S. Sapatnekar,et al.  Power estimation considering statistical IC parametric variations , 1997, Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.

[20]  Jinjun Xiong,et al.  A linear algorithm for full-chip statistical leakage power analysis considering weak spatial correlation , 2010, Design Automation Conference.

[21]  Ping Yang,et al.  A Monte Carlo approach for power estimation , 1993, IEEE Trans. Very Large Scale Integr. Syst..

[22]  Ibrahim N. Hajj,et al.  Probabilistic simulation for reliability analysis of CMOS VLSI circuits , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[23]  Massoud Pedram,et al.  Efficient estimation of dynamic power consumption under a real delay model , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[24]  Jarrod A. Roy,et al.  Min-cut floorplacement , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[25]  Zuochang Ye,et al.  An efficient algorithm for modeling spatially-correlated process variation in statistical full-chip leakage analysis , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[26]  Farid N. Najm,et al.  Transition density: a new measure of activity in digital circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[27]  Navakanta Bhat,et al.  Process Variability-Aware Statistical Hybrid Modeling of Dynamic Power Dissipation in 65 nm CMOS Designs , 2007, 2007 International Conference on Computing: Theory and Applications (ICCTA'07).

[28]  Massoud Pedram,et al.  Stratified random sampling for power estimation , 1996, Proceedings of International Conference on Computer Aided Design.

[29]  Sung-Mo Kang,et al.  Electrothermal Analysis of VLSI Systems , 2000 .