Precise control of template affinity achieved by UV-assisted graphoepitaxy approach on silicon nanowires applications

Directed Self Assembly (DSA) of block-copolymers (BCPs) is considered as a cost-effective solution to extend the performances of conventional lithography. In this work, we propose a smart surface modification technique to precisely control the surface affinity of guiding template used in the DSA graphoepitaxy process flows. The presented method consists in the UV irradiation of copolymers brushes in order to locally tune their surface affinity. By this way, we are able to differentiate the surface affinities of guide sidewalls (PMMA-attractive) and guide bottom (non-preferential affinity). A complete DSA-module is demonstrated and implemented on a 300mm integration flow dedicated to the creation of silicon nanowires-like transistor.

[1]  Gila E. Stein,et al.  Controlling interfacial interactions for directed self assembly of block copolymers , 2015 .

[2]  Ya-Sen Sun,et al.  Tuning polymer-surface chemistries and interfacial interactions with UV irradiated polystyrene chains to control domain orientations in thin films of PS-b-PMMA. , 2016, Soft matter.

[3]  Chi-Chun Liu,et al.  Two-dimensional pattern formation using graphoepitaxy of PS-b-PMMA block copolymers for advanced FinFET device and circuit fabrication. , 2014, ACS nano.

[4]  Bong Hoon Kim,et al.  Directed self-assembly of block copolymers for next generation nanolithography , 2013 .

[5]  Hengpeng Wu,et al.  All track directed self-assembly of block copolymers: process flow and origin of defects , 2012, Advanced Lithography.

[6]  X. Chevalier,et al.  Latest evolution in a 300mm graphoepitaxy pilot line flow for L/S applications , 2017, Advanced Lithography.

[7]  O. Faynot,et al.  Innovative through-Si 3D lithography for ultimate self-aligned planar Double-Gate and Gate-All-Around nanowire transistors , 2013, 2013 Symposium on VLSI Technology.

[8]  Yasunobu Oonishi,et al.  Sub-45nm resist process using stacked-mask process , 2008, SPIE Advanced Lithography.

[9]  Olivier Joubert,et al.  Unbiased line width roughness measurements with critical dimension scanning electron microscopy and critical dimension atomic force microscopy , 2012 .

[10]  Mark Somervell,et al.  Pattern scaling with directed self assembly through lithography and etch process integration , 2012, Advanced Lithography.

[11]  Célia Nicolet,et al.  Advanced surface affinity control for DSA contact hole shrink applications , 2017, Advanced Lithography.

[12]  Sebastian Engelmann,et al.  Sub-30 nm pitch line-space patterning of semiconductor and dielectric materials using directed self-assembly , 2012 .

[13]  Yves Morand,et al.  Ω-Gate Nanowire Transistors Realized by Sidewall Image Transfer Patterning: 35nm Channel Pitch and Opportunities for Stacked-Nanowires Architectures , 2015 .

[14]  P. Quemere,et al.  Surface affinity role in graphoepitaxy of lamellar block copolymers , 2016, SPIE Advanced Lithography.

[15]  Kris T. Delaney,et al.  Directed self-assembly of diblock copolymers in laterally confining channels: line-edge-roughness and defectivity , 2014, Advanced Lithography.

[16]  O. Faynot,et al.  Scaling of Ω-gate SOI nanowire N- and P-FET down to 10nm gate length: Size- and orientation-dependent strain effects , 2013, 2013 Symposium on VLSI Technology.

[17]  Bo Cui,et al.  Polystyrene negative resist for high-resolution electron beam lithography , 2011, Nanoscale research letters.

[18]  T. Ohiwa,et al.  Sub-55 nm Etch Process Using Stacked-Mask Process , 2007 .

[19]  Olivier Joubert,et al.  Pulsed transfer etching of PS-PDMS block copolymers self-assembled in 193 nm lithography stacks. , 2014, ACS applied materials & interfaces.