Cost-effective approach for reducing soft error failure rate in logic circuits

In this paper, a new paradigm for designing logic circuits with concurrent error detection (CED) is described. The key idea is to exploit the asymmetric soft error susceptibility of nodes in a logic circuit. Rather than target all modeled faults, CED is targeted towards the nodes that have the highest soft error susceptibility to achieve cost-effective tradeoffs between overhead and reduction in the soft error failure rate. Under this new paradigm, we present one particular approach that is based on partial duplication and show that it is capable of reducing the soft error failure rate significantly with a fraction of the overhead required for full duplication. A procedure for characterizing the soft error susceptibility of nodes in a logic circuit, and a heuristic procedure for selecting the set of nodes for partial duplication are described. A full set of experimental results demonstrate the cost-effective tradeoffs that can be achieved.

[1]  Cecilia Metra,et al.  On-line detection of logic errors due to crosstalk, delay, and transient faults , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[2]  P. Hazucha,et al.  Impact of CMOS technology scaling on the atmospheric neutron soft error rate , 2000 .

[3]  P. Hazucha,et al.  Cosmic ray neutron multiple-upset measurements in a 0.6-/spl mu/m CMOS process , 2000 .

[4]  Steffen Graf,et al.  Error Detection Circuits , 1993 .

[5]  S. Yang,et al.  Logic Synthesis and Optimization Benchmarks User Guide Version 3.0 , 1991 .

[6]  Cecilia Metra,et al.  Online testing approach for very deep-submicron ICs , 2002, IEEE Design & Test of Computers.

[7]  Michael Nicolaidis Time redundancy based soft-error tolerance to rescue nanometer technologies , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

[8]  Nur A. Touba,et al.  Logic synthesis of multilevel circuits with concurrent error detection , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[9]  Edward J. McCluskey,et al.  Finite state machine synthesis with concurrent error detection , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[10]  Dan Alexandrescu,et al.  New methods for evaluating the impact of single event transients in VDSM ICs , 2002, 17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2002. DFT 2002. Proceedings..

[11]  S. Kirkpatrick Modeling diffusion and collection of charge from ionizing radiation in silicon devices , 1979, IEEE Transactions on Electron Devices.

[12]  Premachandran R. Menon,et al.  Critical Path Tracing - An Alternative to Fault Simulation , 1983, 20th Design Automation Conference Proceedings.

[13]  Leo B. Freeman Critical charge calculations for a bipolar SRAM array , 1996, IBM J. Res. Dev..

[14]  E. Normand Single-event effects in avionics , 1996 .

[15]  N. Cohen,et al.  Soft error considerations for deep-submicron CMOS circuit applications , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).

[16]  Lorenzo Alvisi,et al.  Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.

[17]  G. R. Srinivasan,et al.  Soft-error Monte Carlo modeling program, SEMM , 1996, IBM J. Res. Dev..

[18]  Prithviraj Banerjee,et al.  RSYN: a system for automated synthesis of reliable multilevel circuits , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[19]  Bharat L. Bhuva,et al.  Analysis of single-event effects in combinational logic-simulation of the AM2901 bitslice processor , 2000 .

[20]  Nur A. Touba,et al.  Synthesis of Circuits with Low-Cost Concurrent Error Detection Based on Bose-Lin Codes , 1999, J. Electron. Test..

[21]  Niraj K. Jha,et al.  Design and synthesis of self-checking VLSI circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[22]  Edward J. McCluskey,et al.  On-line delay testing of digital circuits , 1994, Proceedings of IEEE VLSI Test Symposium.

[23]  Vl. V. Saposhnikov,et al.  A New Design Method for Self-Checking Unidirectional Combinational Circuits , 1998, J. Electron. Test..

[24]  R.H. Dennard,et al.  Alpha-Particle-Induced Soft Error Rate in VLSI Circuits , 1982, IEEE Journal of Solid-State Circuits.

[25]  T. May,et al.  Alpha-particle-induced soft errors in dynamic memories , 1979, IEEE Transactions on Electron Devices.

[26]  Yervant Zorian,et al.  On-Line Testing for VLSI—A Compendium of Approaches , 1998, J. Electron. Test..

[27]  E. Normand,et al.  Single event upsets in implantable cardioverter defibrillators , 1998 .

[28]  J. Ziegler,et al.  Effect of Cosmic Rays on Computer Memories , 1979, Science.

[29]  Johan Karlsson,et al.  On latching probability of particle induced transients in combinational networks , 1994, Proceedings of IEEE 24th International Symposium on Fault- Tolerant Computing.

[30]  Donatella Sciuto,et al.  A novel methodology for designing TSC networks based on the parity bit code , 1997, Proceedings European Design and Test Conference. ED & TC 97.

[31]  James L. Walsh,et al.  IBM experiments in soft fails in computer electronics (1978-1994) , 1996, IBM J. Res. Dev..