Critical dimension scanning electron microscope local overlay measurement and its application for double patterning of complex shapes

We have developed a new local overlay measurement technique on actual device patterns using a critical dimension scanning electron microscope (CD-SEM), which can be applied to two-dimensional (2D) device structures such as a static random access memory contact hole array. CD-SEM overlay measurement can provide additional local overlay information at the site of device patterns, complementary to the optical overlay. The methodology includes the use of pattern symmetry to cancel out many process effects and reduce measurement uncertainty. CD-SEM overlay metrology was compared with conventional optical overlay metrology in terms of measurement uncertainty and overlay model analysis, and very good correlation was confirmed. The developed methodology was applied to local overlay measurement of double patterning contact hole layers of leading edge devices. The local overlay distribution was obtained across the device area, and spatial correlation of the overlay error vectors was examined over a large range of distances. The applications of CD-SEM overlay metrology were explored, and methodologies were introduced to examine both the overlay of double patterning contacts at the edge of an array and lithographic process-induced overlay shift of contacts. Finally, a hybrid optical CD-SEM overlay metrology was introduced in order to capture a high order, device weighted overlay response.

[1]  Zachary Baum,et al.  32 nm logic patterning options with immersion lithography , 2008, SPIE Advanced Lithography.

[2]  Charles N. Archie,et al.  Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology , 2004, SPIE Advanced Lithography.

[3]  Scott Halle,et al.  Concerning the influence of pattern symmetry on CD-SEM local overlay measurements for double patterning of complex shapes , 2010, Advanced Lithography.

[4]  Nigel Smith,et al.  Using in-chip overlay metrology , 2008, SPIE Advanced Lithography.

[5]  Martin Burkhardt,et al.  Overcoming the challenges of 22-nm node patterning through litho-design co-optimization , 2009, Advanced Lithography.

[6]  H. Kawada,et al.  Impact of long-period line-edge roughness (LER) on accuracy in critical dimension (CD) measurement and a new guideline for cd metrology , 2004, Digest of Papers. 2004 International Microprocesses and Nanotechnology Conference, 2004..

[7]  Ilan Englard,et al.  Accurate in-resolution level overlay metrology for multipatterning lithography techniques , 2008, SPIE Advanced Lithography.

[8]  Christopher P. Ausschnitt,et al.  Multilayer overlay metrology , 2006, SPIE Advanced Lithography.

[9]  William H. Arnold,et al.  Metrology challenges of double exposure and double patterning , 2007, SPIE Advanced Lithography.

[10]  Jo Finders,et al.  Metrology challenges for advanced lithography techniques , 2007, SPIE Advanced Lithography.

[11]  Jaime D. Morillo,et al.  Simultaneous critical dimension and overlay measurements on a SEM through target design for inline manufacturing lithography control , 2004, SPIE Advanced Lithography.

[12]  Jeong-Ho Yeo,et al.  Overlay excursion monitoring using SEM image , 2002, SPIE Advanced Lithography.

[13]  Scott Halle,et al.  Spatial signature in local overlay measurements: what CD-SEM can tell us and optical measurements can not , 2010, Advanced Lithography.

[14]  Tsann-Bim Chiou,et al.  Using intrafield high-order correction to achieve overlay requirement beyond sub-40nm node , 2009, Advanced Lithography.

[15]  Alexander Starikov,et al.  Accuracy of overlay measurements: tool and mark asymmetry effects , 1992 .

[16]  M. G. Rosenfield Overlay measurements using the scanning electron microscope: accuracy and precision , 1992, Advanced Lithography.

[17]  Scott Halle,et al.  Combinatorial overlay control for double patterning , 2009 .

[18]  Shunsuke Koshihara,et al.  CD-SEM utility with double patterning , 2010, Advanced Lithography.