Stacked SiGe/Si I/O FinFET device preparation in a vertically stacked gate-all-around technology