A Thermally Stable and High-Performance 90-nm ${\rm Al}_{2}{\rm O}_{3}\backslash{\rm Cu}$-Based 1T1R CBRAM Cell

In this paper, we optimize the stack of a 90-nm CMOS-friendly W\Al<sub>2</sub>O<sub>3</sub>\Cu conductive-bridging random access memory cell integrated in the one-transistor/one-resistor configuration. We show that the excellent Cu buffering properties of a TiW layer inserted at the Al<sub>2</sub>O<sub>3</sub>\Cu interface make it possible, on one hand, to ensure cell integrity after back-end-of-line processing at 400 °C and, on the other, to obtain excellent memory performances. After optimization of the Al<sub>2</sub>O<sub>3</sub> layer thickness, the cell exhibits highly controlled set and reset operations, a large memory window, fast pulse programming (0 ns) at low voltage (<;3 V), and low-current (10 μA), and multilevel operation. Finally, 10<sup>6</sup> cycles of write endurance lifetime with up to a three-decade memory window is demonstrated, and state stability is assessed up to 125 °C.

[1]  L. Goux,et al.  Control of metal/oxide electron barriers in CBRAM cells by low work-function liners , 2013 .

[2]  Dirk Wouters,et al.  Thermal-stability optimization of Al2O3/Cu–Te based conductive-bridging random access memory systems , 2013 .

[3]  C. Cagli,et al.  Sb-doped GeS2 as performance and reliability booster in Conductive Bridge RAM , 2012, 2012 International Electron Devices Meeting.

[4]  Yi Ma,et al.  Demonstration of Conductive Bridging Random Access Memory (CBRAM) in logic CMOS process , 2011 .

[5]  K. Aratani,et al.  A Novel Resistance Memory with High Scalability and Nanosecond Switching , 2007, 2007 IEEE International Electron Devices Meeting.

[6]  L. Goux,et al.  Ultralow sub-500nA operating current high-performance TiN\Al2O3\HfO2\Hf\TiN bipolar RRAM achieved through understanding-based stack-engineering , 2012, 2012 Symposium on VLSI Technology (VLSIT).

[7]  Chih-Yuan Lu,et al.  A novel tite buffered Cu-GeSbTe/SiO2 electrochemical resistive memory (ReRAM) , 2010, 2010 Symposium on VLSI Technology.

[8]  L. Goux,et al.  Intrinsic switching variability in HfO2 RRAM , 2013, 2013 5th IEEE International Memory Workshop.

[9]  Gerhard Müller,et al.  A Nonvolatile 2-Mbit CBRAM Memory Core Featuring Advanced Read and Program Control , 2007, IEEE Journal of Solid-State Circuits.

[10]  L. Goux,et al.  Field-driven ultrafast sub-ns programming in W\Al2O3\Ti\CuTe-based 1T1R CBRAM system , 2012, 2012 Symposium on VLSI Technology (VLSIT).

[11]  B. DeSalvo,et al.  CBRAM devices as binary synapses for low-power stochastic neuromorphic systems: Auditory (Cochlea) and visual (Retina) cognitive processing applications , 2012, 2012 International Electron Devices Meeting.

[12]  M. Kozicki,et al.  Electrochemical metallization memories—fundamentals, applications, prospects , 2011, Nanotechnology.

[13]  R. Degraeve,et al.  Understanding of the intrinsic characteristics and memory trade-offs of sub-μA filamentary RRAM operation , 2013, 2013 Symposium on VLSI Technology.

[14]  M. Kozicki,et al.  Low voltage cycling of programmable metallization cell memory devices , 2011, Nanotechnology.