Architectural power management for high leakage technologies

We propose a power-performance trade off methodology for microprocessors. An instruction named slowdown for low power (SLOP) is introduced. Functionally, it resembles the conventional NOP but requires power-specific hardware implementation. Depending upon the power reduction requirement, adequate number of SLOP's are automatically inserted in the instruction stream by the power management hardware. While processing a SLOP, additional power control signals are generated for various units; the ALU is powered down, caches are put in drowsy mode, and register file and pipeline registers may be fully or partially clock-gated. Simulation of a five-stage pipelined 32-bit MIPS processor shows that the SLOP method, termed instruction slowdown (ISD), becomes more effective than a conventional clock slowdown (CSD) when leakage is high. For 32nm CMOS technology, ISD can save more than 70% power compared to about 40% by CSD. The paper shows that power reduction through a judicious choice of slowdown factor and the method adopted, clock slowdown for low leakage and instruction slowdown for high leakage, can enhance the battery lifetime as well.

[1]  Andreas Wortmann,et al.  The impact of clock gating schemes on the power dissipation of synthesizable register files , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

[2]  S. Borkar,et al.  Dynamic-sleep transistor and body bias for active leakage power control of microprocessors , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[3]  장훈,et al.  [서평]「Computer Organization and Design, The Hardware/Software Interface」 , 1997 .

[4]  Eby G. Friedman,et al.  Managing static leakage energy in microprocessor functional units , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

[5]  Wayne H. Wolf,et al.  Cyber-physical Systems , 2009, Computer.

[6]  Narayanan Vijaykrishnan,et al.  Evaluating run-time techniques for leakage power reduction , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[7]  Isidor Buchmann,et al.  Batteries in a Portable World: A Handbook on Rechargeable Batteries for Non-Engineers , 2011 .

[8]  A.P. Chandrakasan,et al.  Dual-threshold voltage techniques for low-power digital circuits , 2000, IEEE Journal of Solid-State Circuits.

[9]  M. Horowitz,et al.  Low-power digital design , 1994, Proceedings of 1994 IEEE Symposium on Low Power Electronics.

[10]  T. Mudge,et al.  Drowsy caches: simple techniques for reducing leakage power , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.

[11]  Donald J. Patterson,et al.  Computer organization and design: the hardware-software interface (appendix a , 1993 .

[12]  R. Service,et al.  New 'Supercapacitor' Promises to Pack More Electrical Punch , 2006, Science.

[13]  Kaushik Roy,et al.  Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories , 2000, ISLPED '00.

[14]  Mark C. Johnson,et al.  Leakage control with efficient use of transistor stacks in single threshold CMOS , 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

[15]  Luca Benini,et al.  Dynamic Power Management , 1998 .

[16]  Yu Cao,et al.  Predictive Technology Model for Nano-CMOS Design Exploration , 2006, 2006 1st International Conference on Nano-Networks and Workshops.

[17]  Robert C. Aitken,et al.  Low Power Methodology Manual - for System-on-Chip Design , 2007 .

[18]  Israel Koren,et al.  Compiler-based adaptive fetch throttling for energy-efficiency , 2006, 2006 IEEE International Symposium on Performance Analysis of Systems and Software.

[19]  Pradip Bose,et al.  Microarchitectural techniques for power gating of execution units , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[20]  D. Linden Handbook Of Batteries , 2001 .

[21]  Luca Benini,et al.  Dynamic power management - design techniques and CAD tools , 1997 .

[22]  Qing Wu,et al.  Design considerations for battery-powered electronics , 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

[23]  Jerry Frenkil,et al.  Power Gating Design Automation , 2007 .

[24]  Yu Cao,et al.  New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[25]  Linh Ngo,et al.  Analysis of the MIPS 32-bit , pipelined processor using synthesized VHDL , 2005 .

[26]  Kiat Seng Yeo,et al.  Low Voltage, Low Power VLSI Subsystems , 2004 .

[27]  Sarma B. K. Vrudhula,et al.  Battery Modeling for Energy-Aware System Design , 2003, Computer.

[28]  Ali Afzali-Kusha,et al.  Stall Power Reduction in Pipelined Architecture Processors , 2008, 21st International Conference on VLSI Design (VLSID 2008).

[29]  David A. Patterson,et al.  Computer Organization and Design, Fourth Edition, Fourth Edition: The Hardware/Software Interface (The Morgan Kaufmann Series in Computer Architecture and Design) , 2008 .

[30]  V. Kamakoti,et al.  Power Virus Generation Using Behavioral Models of Circuits , 2007, 25th IEEE VLSI Test Symposium (VTS'07).

[31]  Israel Koren,et al.  Cool-Fetch: Compiler-Enabled Power-Aware Fetch Throttling , 2002, IEEE Computer Architecture Letters.

[32]  Michael L. Bushnell,et al.  A Novel Dynamic Power Cutoff Technique (DPCT) for Active Leakage Reduction in Deep Submicron CMOS Circuits , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.