Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives
暂无分享,去创建一个
Natalie D. Enright Jerger | Radu Marculescu | Ümit Y. Ogras | Li-Shiuan Peh | Yatin Vasant Hoskote | R. Marculescu | L. Peh | Y. Hoskote
[1] Giovanni De Micheli,et al. A robust self-calibrating transmission scheme for on-chip networks , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[2] Dean M. Tullsen,et al. Interconnections in Multi-Core Architectures: Understanding Mechanisms, Overheads and Scaling , 2005, ISCA 2005.
[3] Chita R. Das,et al. A novel dimensionally-decomposed router for on-chip communication in 3D architectures , 2007, ISCA '07.
[4] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[5] Giovanni De Micheli,et al. Physical planning for on-chip multiprocessor networks and switch fabrics , 2003, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003.
[6] N. Ranganathan,et al. A wire-delay scalable microprocessor architecture for high performance systems , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[7] Mahmut T. Kandemir,et al. Fault tolerant algorithms for network-on-chip interconnect , 2004, IEEE Computer Society Annual Symposium on VLSI.
[8] William J. Dally,et al. Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.
[9] Panos M. Pardalos,et al. The Quadratic Assignment Problem: A Survey and Recent Developments , 1993, Quadratic Assignment and Related Problems.
[10] Vladimir Stojanovic,et al. Equalized interconnects for on-chip networks: modeling and optimization framework , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[11] Kiyoung Choi,et al. Instruction set synthesis with efficient instruction encoding for configurable processors , 2007, TODE.
[12] Sander Stuijk,et al. Resource-efficient routing and scheduling of time-constrained streaming communication on networks-on-chip , 2008, J. Syst. Archit..
[13] Gianluca Palermo,et al. PIRATE: A Framework for Power/Performance Exploration of Network-on-Chip Architectures , 2004, PATMOS.
[14] Niraj K. Jha,et al. Power-conscious joint scheduling of periodic task graphs and aperiodic tasks in distributed real-time embedded systems , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[15] Axel Jantsch,et al. Layered Switching for Networks on Chip , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[16] Sujit Dey,et al. Evaluation of the traffic-performance characteristics of system-on-chip communication architectures , 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.
[17] Li Shang,et al. Thermal Modeling, Characterization and Management of On-Chip Networks , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).
[18] Li-Shiuan Peh,et al. A Statistical Traffic Model for On-Chip Interconnection Networks , 2006, 14th IEEE International Symposium on Modeling, Analysis, and Simulation.
[19] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[20] Luca Benini,et al. Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[21] Axel Jantsch,et al. Load distribution with the proximity congestion awareness in a network on chip , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[22] Ran Ginosar,et al. Efficient Link Capacity and QoS Design for Network-on-Chip , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[23] Yvain Thonnart,et al. Formal Verification of CHP Specifications with CADP Illustration on an Asynchronous Network-on-Chip , 2007, 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'07).
[24] Flavius Gruian. Hard real-time scheduling for low-energy using stochastic data and DVS processors , 2001, ISLPED '01.
[25] William J. Dally,et al. Virtual-channel flow control , 1990, [1990] Proceedings. The 17th Annual International Symposium on Computer Architecture.
[26] Alexandre M. Amory,et al. A scalable test strategy for network-on-chip routers , 2005, IEEE International Conference on Test, 2005..
[27] Li-Shiuan Peh,et al. Design-space exploration of power-aware on/off interconnection networks , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[28] Dean M. Tullsen,et al. Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[29] Bill Lin,et al. Design of application-specific 3D Networks-on-Chip architectures , 2008, 2008 IEEE International Conference on Computer Design.
[30] Axel Jantsch,et al. Layout, Performance and Power Trade-Offs in Mesh-Based Network-on-Chip Architectures , 2003, VLSI-SOC.
[31] Giuseppe Campobello,et al. GALS Networks on Chip: A New Solution for Asynchronous Delay-Insensitive Links , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[32] Bashir M. Al-Hashimi,et al. Joint Consideration of Fault-Tolerance, Energy-Efficiency and Performance in On-Chip Networks , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[33] Dake Liu,et al. SoCBUS: switched network on chip for hard real time embedded systems , 2003, Proceedings International Parallel and Distributed Processing Symposium.
[34] William John Bainbridge,et al. Delay insensitive system-on-chip interconnect using 1-of-4 data encoding , 2001, Proceedings Seventh International Symposium on Asynchronous Circuits and Systems. ASYNC 2001.
[35] Valentin Puente,et al. Immunet: a cheap and robust fault-tolerant packet routing mechanism , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[36] Sudhakar Yalamanchili,et al. Power constrained design of multiprocessor interconnection networks , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.
[37] Ran Ginosar,et al. QNoC: QoS architecture and design process for network on chip , 2004, J. Syst. Archit..
[38] Peter A. Beerel,et al. Low Power and Energy Efficient Asynchronous Design , 2007, J. Low Power Electron..
[39] Axel Jantsch,et al. Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[40] Twan Basten,et al. Task-level timing models for guaranteed performance in multiprocessor networks-on-chip , 2003, CASES '03.
[41] Fabien Clermidy,et al. Dynamic Voltage and Frequency Scaling Architecture for Units Integration within a GALS NoC , 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).
[42] Daewook Kim,et al. Adaptive scheduling for CDMA-based networks-on-chip , 2005, The 3rd International IEEE-NEWCAS Conference, 2005..
[43] Jörg Henkel,et al. On-chip networks: a scalable, communication-centric embedded system design paradigm , 2004, 17th International Conference on VLSI Design. Proceedings..
[44] Rainer Leupers,et al. A modular simulation framework for architectural exploration of on-chip interconnection networks , 2003, First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).
[45] Chita R. Das,et al. A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip Networks , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[46] A. Jose,et al. Near speed-of-light on-chip interconnects using pulsed current-mode signalling , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..
[47] Luca Benini,et al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.
[48] Radu Marculescu,et al. Communication and task scheduling of application-specific networks-on-chip , 2005 .
[49] Luca Benini,et al. A Method for Routing Packets Across Multiple Paths in NoCs with In-Order Delivery and Fault-Tolerance Gaurantees , 2007, VLSI Design.
[50] David Wentzlaff,et al. Energy characterization of a tiled architecture processor with on-chip networks , 2003, ISLPED '03.
[51] Radu Marculescu,et al. Energy- and performance-driven NoC communication architecture synthesis using a decomposition approach , 2005, Design, Automation and Test in Europe.
[52] Jason Cong,et al. CMP network-on-chip overlaid with multi-band RF-interconnect , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[53] Anant Agarwal,et al. Scalar operand networks , 2005, IEEE Transactions on Parallel and Distributed Systems.
[54] Krishnan Srinivasan,et al. Linear programming based techniques for synthesis of network-on-chip architectures , 2006, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[55] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, MICRO.
[56] Radu Marculescu,et al. "It's a small world after all": NoC performance optimization via long-range link insertion , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[57] Jörg Henkel,et al. Run-time adaptive on-chip communication scheme , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[58] Tobias Bjerregaard,et al. A survey of research and practices of Network-on-chip , 2006, CSUR.
[59] Donghyun Kim,et al. Solutions for Real Chip Implementation Issues of NoC and Their Application to Memory-Centric NoC , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[60] Srinivasan Murali,et al. A Methodology for Mapping Multiple Use-Cases onto Networks on Chips , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[61] Petru Eles,et al. Fault and energy-aware communication mapping with guaranteed latency for applications implemented on NoC , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[62] Khaled Z. Ibrahim. Correlation between detailed and simplified simulations in studying multiprocessor architecture , 2005, 2005 International Conference on Computer Design.
[63] Srinivasan Murali,et al. Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[64] Fabien Clermidy,et al. An asynchronous NOC architecture providing low latency service and its multi-level design framework , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.
[65] Ethiopia Nigussie,et al. High-Performance Long NoC Link Using Delay-Insensitive Current-Mode Signaling , 2007, VLSI Design.
[66] Yusuf Leblebici,et al. Quantitative modelling and comparison of communication schemes to guarantee quality-of-service in networks-on-chip , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[67] Radu Marculescu,et al. On-chip traffic modeling and synthesis for MPEG-2 video applications , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[68] Radu Marculescu,et al. System-Level Buffer Allocation for Application-Specific Networks-on-Chip Router Design , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[69] Érika F. Cota,et al. Power-aware test scheduling in network-on-chip using variable-rate on-chip clocking , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).
[70] Alberto L. Sangiovanni-Vincentelli,et al. Theory of latency-insensitive design , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[71] Tajana Simunic,et al. Temperature Aware Task Scheduling in MPSoCs , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[72] Radu Marculescu,et al. Analytical Router Modeling for Networks-on-Chip Performance Analysis , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[73] Natalie D. Enright Jerger,et al. Circuit-Switched Coherence , 2007, IEEE Computer Architecture Letters.
[74] Mahmut T. Kandemir,et al. Energy optimization techniques in cluster interconnects , 2003, ISLPED '03.
[75] Mahmut T. Kandemir,et al. Compiler-directed voltage scaling on communication links for reducing power consumption , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[76] Kenneth L. Shepard,et al. Design of resonant global clock distributions , 2003, Proceedings 21st International Conference on Computer Design.
[77] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[78] Narayanan Vijaykrishnan,et al. Thermal-aware IP virtualization and placement for networks-on-chip architecture , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[79] Jordi Cortadella,et al. Synthesis of synchronous elastic architectures , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[80] Axel Jantsch,et al. Power analysis of link level and end-to-end data protection in networks on chip , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[81] Luca Benini,et al. Analysis of error recovery schemes for networks on chips , 2005, IEEE Design & Test of Computers.
[82] Jason D. Lee,et al. SAPP: scalable and adaptable peak power management in nocs , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[83] Radu Marculescu,et al. Analysis and optimization of prediction-based flow control in networks-on-chip , 2008, TODE.
[84] Théodore Marescaux,et al. Introducing the SuperGT Network-on-Chip; SuperGT QoS: more than just GT , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[85] Henry Hoffmann,et al. The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs , 2002, IEEE Micro.
[86] Radu Marculescu,et al. Towards Open Network-on-Chip Benchmarks , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[87] Kees G. W. Goossens,et al. A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification , 2005, Design, Automation and Test in Europe.
[88] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[89] Li Shang,et al. Dynamic voltage scaling with links for power optimization of interconnection networks , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[90] S. Torii,et al. Skew-Tolerant Global Synchronization Based on Periodically All-in-Phase Clocking for Multi-Core SOC Platforms , 2007, 2007 IEEE Symposium on VLSI Circuits.
[91] L. Benini,et al. Designing Application-Specific Networks on Chips with Floorplan Information , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[92] Li-Shiuan Peh,et al. High-level power analysis for on-chip networks , 2004, CASES '04.
[93] Krishnan Srinivasan,et al. A technique for low energy mapping and routing in network-on-chip architectures , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[94] Krste Asanovic,et al. Globally-Synchronized Frames for Guaranteed Quality-of-Service in On-Chip Networks , 2008, 2008 International Symposium on Computer Architecture.
[95] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[96] Alain Greiner,et al. Systematic Comparison between the Asynchronous and the Multi-Synchronous Implementations of a Network on Chip Architecture , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[97] Radu Marculescu,et al. DyAD - smart routing for networks-on-chip , 2004, Proceedings. 41st Design Automation Conference, 2004..
[98] Yi Wang,et al. SD-MAC: Design and Synthesis of a Hardware-Efficient Collision-Free QoS-Aware MAC Protocol for Wireless Network-on-Chip , 2008, IEEE Transactions on Computers.
[99] Radu Marculescu,et al. Energy-aware mapping for tile-based NoC architectures under performance constraints , 2003, ASP-DAC '03.
[100] Kees G. W. Goossens,et al. Congestion-Controlled Best-Effort Communication for Networks-on-Chip , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[101] Timothy Mark Pinkston,et al. A methodology for designing efficient on-chip interconnects on well-behaved communication patterns , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[102] Jan Madsen,et al. Network traffic generator model for fast network-on-chip simulation , 2005, Design, Automation and Test in Europe.
[103] José Duato,et al. A new scalable and cost-effective congestion management strategy for lossless multistage interconnection networks , 2005, 11th International Symposium on High-Performance Computer Architecture.
[104] Alberto L. Sangiovanni-Vincentelli,et al. Efficient synthesis of networks on chip , 2003, Proceedings 21st International Conference on Computer Design.
[105] Steven M. Nowick,et al. Robust interfaces for mixed-timing systems with application to latency-insensitive protocols , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[106] Henk Corporaal,et al. Introducing the SuperGT Network-on-Chip , 2007 .
[107] Kees Goossens,et al. AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.
[108] Natalie D. Enright Jerger,et al. Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support , 2008, 2008 International Symposium on Computer Architecture.
[109] Jan Madsen,et al. Network-on-chip modeling for system-level multiprocessor simulation , 2003, RTSS 2003. 24th IEEE Real-Time Systems Symposium, 2003.
[110] Mikko H. Lipasti,et al. Circuit-Switched Coherence , 2008 .
[111] Petru Eles,et al. An approach to incremental design of distributed embedded systems , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[112] William J. Dally,et al. Worst-case Traffic for Oblivious Routing Functions , 2002, IEEE Computer Architecture Letters.
[113] Gerard J. M. Smit,et al. An energy-efficient reconfigurable circuit-switched network-on-chip , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.
[114] Naresh R. Shanbhag,et al. Energy-efficient soft error-tolerant digital signal processing , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[115] Hoi-Jun Yoo,et al. A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).
[116] Radu Marculescu,et al. Energy- and Performance-Aware Incremental Mapping for Networks on Chip With Multiple Voltage Levels , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[117] Ieee Circuits,et al. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems information for authors , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[118] Bruno Ciciani,et al. Performance Analysis of Wormhole Switching with Adaptive Routing in a Two-Dimensional Torus , 1999, Euro-Par.
[119] Li-Shiuan Peh,et al. Leakage power modeling and optimization in interconnection networks , 2003, ISLPED '03.
[120] Luca Benini,et al. Error control schemes for on-chip communication links: the energy-reliability tradeoff , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[121] Chi-Ying Tsui,et al. Optimal link scheduling on improving best-effort and guaranteed services performance in network-on-chip systems , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[122] Dongkun Shin,et al. Intra-Task Voltage Scheduling for Low-Energy, Hard Real-Time Applications , 2001, IEEE Des. Test Comput..
[123] Douglas L. Jones,et al. Trends in energy-efficiency and robustness using stochastic sensor network-on-a-chip , 2008, GLSVLSI '08.
[124] Zainalabedin Navabi,et al. Using the Inter- and Intra-Switch Regularity in NoC Switch Testing , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[125] Jens Sparsø,et al. A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip , 2005, Design, Automation and Test in Europe.
[126] Jari Nurmi,et al. Buffer implementation for Proteo network-on-chip , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..
[127] Alain Greiner,et al. Micro-network for SoC: implementation of a 32-port SPIN network , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[128] Rami G. Melhem,et al. Energy aware scheduling for distributed real-time systems , 2003, Proceedings International Parallel and Distributed Processing Symposium.
[129] Sharad Malik,et al. A technology-aware and energy-oriented topology exploration for on-chip networks , 2005, Design, Automation and Test in Europe.
[130] Chita R. Das,et al. Design and analysis of an NoC architecture from performance, reliability and energy perspective , 2005, 2005 Symposium on Architectures for Networking and Communications Systems (ANCS).
[131] Yuan Xie,et al. Allocation and scheduling of conditional task graph in hardware/software co-synthesis , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.
[132] Niraj K. Jha,et al. Express virtual channels: towards the ideal interconnection fabric , 2007, ISCA '07.
[133] William J. Dally,et al. Flit-reservation flow control , 2000, Proceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550).
[134] Lorenzo Alvisi,et al. Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.
[135] Simon W. Moore,et al. Low-latency virtual-channel routers for on-chip networks , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[136] Kees Goossens,et al. Concepts and Implementation of the Philips Network-on-Chip , 2003 .
[137] Walter Willinger,et al. Self-Similar Network Traffic and Performance Evaluation , 2000 .
[138] Sharad Malik,et al. Power-driven Design of Router Microarchitectures in On-chip Networks , 2003, MICRO.
[139] Saurabh Dighe,et al. An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[140] Radu Marculescu,et al. Key research problems in NoC design: a holistic perspective , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[141] Kees G. W. Goossens,et al. A Unified Approach to Mapping and Routing on a Network-on-Chip for Both Best-Effort and Guaranteed Service Traffic , 2007, VLSI Design.
[142] Luca Benini,et al. Analysis of power consumption on switch fabrics in network routers , 2002, DAC '02.
[143] Li Shang,et al. Three-dimensional multiprocessor system-on-chip thermal optimization , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[144] Giovanni De Micheli,et al. A complete network-on-chip emulation framework , 2005, Design, Automation and Test in Europe.
[145] S. Asano,et al. The design and implementation of a first-generation CELL processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[146] Antoine Fraboulet,et al. Automatic phase detection for stochastic on-chip traffic generation , 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).
[147] Davide Bertozzi,et al. Supporting Task Migration in Multi-Processor Systems-on-Chip: A Feasibility Study , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[148] Vincenzo Catania,et al. Multi-objective mapping for mesh-based NoC architectures , 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..
[149] Kees G. W. Goossens,et al. Undisrupted Quality-of-Service during Reconfiguration of Multiple Applications in Networks on Chip , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[150] Radu Marculescu,et al. Energy- and performance-aware mapping for regular NoC architectures , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[151] Radu Marculescu,et al. On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches , 2007, TODE.
[152] Russell Tessier,et al. An architecture and compiler for scalable on-chip communication , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[153] Valentin Puente,et al. Rotary router: an efficient architecture for CMP interconnection networks , 2007, ISCA '07.
[154] Krishnan Srinivasan,et al. A Low Complexity Heuristic for Design of Custom Network-on-Chip Architectures , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[155] Luca Benini,et al. Reliability Support for On-Chip Memories Using Networks-on-Chip , 2006, 2006 International Conference on Computer Design.
[156] Leonard Kleinrock,et al. An analytical model for wormhole routing with finite size input buffers , 1997 .
[157] Rudy Lauwereins,et al. Highly scalable network on chip for reconfigurable systems , 2003, Proceedings. 2003 International Symposium on System-on-Chip (IEEE Cat. No.03EX748).
[158] Radu Marculescu,et al. Stochastic Communication: A New Paradigm for Fault-Tolerant Networks-on-Chip , 2007, VLSI Design.
[159] DaeHo Seo,et al. Near-Optimal Worst-Case Throughput Routing for Two-Dimensional Mesh Networks , 2005, ISCA 2005.
[160] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[161] Vincenzo Catania,et al. A methodology for design of application specific deadlock-free routing algorithms for NoC systems , 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).
[162] Partha Pratim Pande,et al. Performance evaluation and design trade-offs for network-on-chip interconnect architectures , 2005, IEEE Transactions on Computers.
[163] Wayne Luk,et al. A Hybrid Analog-Digital Routing Network for NoC Dynamic Routing , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[164] Doug Burger,et al. Implementation and Evaluation of On-Chip Network Architectures , 2006, 2006 International Conference on Computer Design.
[165] Karam S. Chatha,et al. A power and performance model for network-on-chip architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[166] Luca Benini,et al. Fault Tolerance Overhead in Network-on-Chip Flow Control Schemes , 2005, 2005 18th Symposium on Integrated Circuits and Systems Design.
[167] Jens Sparsø,et al. A Scalable, Timing-Safe, Network-on-Chip Architecture with an Integrated Clock Distribution Method , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[168] Zhiyi Yu,et al. Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles , 2006, 2006 International Conference on Computer Design.
[169] Ran Ginosar,et al. Routing Table Minimization for Irregular Mesh NoCs , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[170] Pasi Liljeberg,et al. Fault Tolerance Analysis of NoC Architectures , 2007, 2007 IEEE International Symposium on Circuits and Systems.
[171] Stephen P. Boyd,et al. Managing power consumption in networks on chips , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[172] Lawrence T. Pileggi,et al. Throughput-driven IC communication fabric synthesis , 2002, ICCAD 2002.
[173] Ran Ginosar,et al. Data synchronization issues in GALS SoCs , 2004, 10th International Symposium on Asynchronous Circuits and Systems, 2004. Proceedings..
[174] Walter Willinger,et al. Self‐Similar Network Traffic: An Overview , 2002 .
[175] Corey Goldfeder,et al. Frequency-based code placement for embedded multiprocessors , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[176] Joydeep Ghosh,et al. A Comprehensive Analytical Model for Wormhole Routng in Multicomputer Systems , 1994, J. Parallel Distributed Comput..
[177] William J. Dally,et al. Flattened butterfly: a cost-efficient topology for high-radix networks , 2007, ISCA '07.
[178] Sri Parameswaran,et al. NoCEE: energy macro-model extraction methodology for network on chip routers , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[179] Petru Eles,et al. Iterative schedule optimization for voltage scalable distributed embedded systems , 2004, TECS.
[180] Ney Laert Vilar Calazans,et al. MAIA - a framework for networks on chip generation and verification , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[181] Radu Marculescu,et al. Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[182] Partha Pratim Pande,et al. Methodologies and algorithms for testing switch-based NoC interconnects , 2005, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05).
[183] Chita R. Das,et al. ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip Routers , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[184] Dongkun Shin,et al. Power-aware communication optimization for networks-on-chips with voltage scalable links , 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..
[185] William J. Dally,et al. A delay model and speculative architecture for pipelined routers , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[186] Radu Marculescu,et al. Communication-Aware Task Scheduling and Voltage Selection for Total Systems Energy Minimization , 2003, ICCAD.