Energy Efficient and Energy Proportional Optical Interconnects for Multi-Core Processors: Driving the Need for On-Chip Sources

Silicon photonics is the prime candidate technology to realize an optical network-on-chip for global interconnects in future multi-core processors. Since silicon photonics lacks efficient native-substrate optical sources, the question is whether off-chip or heterogeneously integrated on-chip sources are the preferred technology. In this paper we argue, based on arguments of energy efficiency and energy proportionality, that on-chip sources provide a dramatic overall system efficiency improvement, as compared to using an off-chip (comb) source. We estimate an increase in source efficiency for on-chip lasers of close to 20 dB. These results provide a clear case to include on-chip lasers, such as hybrid silicon lasers, into the network architecture design.

[1]  Chen Sun,et al.  Addressing link-level design tradeoffs for integrated photonic interconnects , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

[2]  Ikuo Mito,et al.  InGaAsP double-channel- planar-buried-heterostructure laser diode (DC-PBH LD) with effective current confinement , 1983 .

[3]  J. E. Bowers,et al.  An Integrated Hybrid Silicon Multiwavelength AWG Laser , 2011, IEEE Journal of Selected Topics in Quantum Electronics.

[4]  P. Wolf,et al.  1550-nm High-Speed Short-Cavity VCSELs , 2011, IEEE Journal of Selected Topics in Quantum Electronics.

[5]  J. Bowers,et al.  Hybrid Silicon Photonic Integrated Circuit Technology , 2013, IEEE Journal of Selected Topics in Quantum Electronics.

[6]  Ian O'Connor,et al.  Integrated Optical Interconnect Architectures for Embedded Systems , 2012 .

[7]  Alwyn Seeds,et al.  Continuous-wave InAs/GaAs quantum-dot laser diodes monolithically grown on Si substrate with low threshold current densities. , 2012, Optics express.

[8]  I. Krestnikov,et al.  Low-power monolithic COMB laser for short-reach WDM optical interconnects , 2012, IEEE Photonics Conference 2012.

[9]  M.K. Smit,et al.  Passively Mode-Locked 4.6 and 10.5 GHz Quantum Dot Laser Diodes Around 1.55 μm With Large Operating Regime , 2009, IEEE Journal of Selected Topics in Quantum Electronics.

[10]  C. Koos,et al.  Flexible RF-Based Comb Generator , 2013, IEEE Photonics Technology Letters.

[11]  Fabrice Blache,et al.  High performance InP-based quantum dash semiconductor mode-locked lasers for optical communications , 2009, Bell Labs Technical Journal.

[12]  Christopher Batten,et al.  Designing Chip-Level Nanophotonic Interconnection Networks , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[13]  P. Pintus,et al.  Characterization of Insertion Loss and Back Reflection in Passive Hybrid Silicon Tapers , 2013, IEEE Photonics Journal.

[14]  J. E. Bowers,et al.  >200 nm gain-bandwidth hybrid silicon laser array using quantum well intermixing , 2012, ISLC 2012 International Semiconductor Laser Conference.

[15]  Daoxin Dai,et al.  Realization of a Novel $1\times{\rm N}$ Power Splitter With Uniformly Excited Ports , 2013, IEEE Photonics Technology Letters.

[16]  Joris Van Campenhout,et al.  On-chip optical interconnects versus electrical interconnects for high-performance applications , 2013 .

[17]  P. Verheyen,et al.  High-efficiency fiber-to-chip grating couplers realized using an advanced CMOS-compatible silicon-on-insulator platform. , 2010, Optics express.

[18]  Elyahou Kapon,et al.  10 Gbps VCSELs with High Single Mode Output in 1310 nm and 1550 nm Bands , 2008 .

[19]  Di Liang,et al.  Low Threshold Electrically-Pumped Hybrid Silicon Microring Lasers , 2011, IEEE Journal of Selected Topics in Quantum Electronics.

[20]  A. Syrbu,et al.  1.5-mW single-mode operation of wafer-fused 1550-nm VCSELs , 2004, IEEE Photonics Technology Letters.

[21]  S. J. B. Yoo,et al.  Dynamic optical arbitrary waveform generation and detection in InP photonic integrated circuits for Tb/s optical communications , 2011 .

[22]  K. Uomi,et al.  1.3-$\mu$ m InGaAlAs Short-Cavity DBR Lasers for Uncooled 10-Gb/s Operation With Low Drive Current , 2006, IEEE Photonics Technology Letters.

[23]  Tomoyuki Akiyama,et al.  Four-wavelength silicon hybrid laser array with ring-resonator based mirror for efficient CWDM transmitter , 2013, 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC).

[24]  Jie Sun,et al.  Nanophotonic integration in state-of-the-art CMOS foundries. , 2011, Optics express.

[25]  T Pinguet,et al.  A Grating-Coupler-Enabled CMOS Photonics Platform , 2011, IEEE Journal of Selected Topics in Quantum Electronics.

[26]  M. Bettiati,et al.  Extremely low losses 14xx single mode laser diode leading to 550-mW output power module with 0-75°C case temperature and 10-W consumption , 2012, Photonics West - Lasers and Applications in Science and Engineering.

[27]  Luiz André Barroso,et al.  The Case for Energy-Proportional Computing , 2007, Computer.

[28]  John E. Bowers,et al.  Integrated Fourier domain mode-locked lasers: Analysis of a novel coherent WDM comb laser , 2011, 2011 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference.

[29]  Xie Zheng Long-wavelength vertical-cavity surface-emitting lasers , 2007 .

[30]  Jung Ho Ahn,et al.  Devices and architectures for photonic chip-scale integration , 2009 .

[31]  L. Di Cioccio,et al.  A Compact SOI-Integrated Multiwavelength Laser Source Based on Cascaded InP Microdisks , 2008, IEEE Photonics Technology Letters.

[32]  Michael R. Watts,et al.  Large-scale nanophotonic phased array , 2013, Nature.

[33]  Alexander Fang,et al.  Integrated Silicon Photonic Laser Sources for Telecom and Datacom , 2013, 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC).

[34]  G. Duan,et al.  Separate Error-Free Transmission of Eight Channels at 10 Gb/s Using Comb Generation in a Quantum-Dash-Based Mode-Locked Laser , 2009, IEEE Photonics Technology Letters.

[35]  Bo Lu,et al.  Monolithically integrated high speed DFB BH laser arrays for 10 Gbased LX4 application , 2006, 2006 Optical Fiber Communication Conference and the National Fiber Optic Engineers Conference.

[36]  R. G. Beausoleil,et al.  Photonic Architectures for High-Performance Data Centers , 2013, IEEE Journal of Selected Topics in Quantum Electronics.

[37]  Di Liang,et al.  Reflection-assisted unidirectional hybrid silicon microring lasers , 2012, 2012 International Conference on Indium Phosphide and Related Materials.

[38]  Robert A Norwood,et al.  Ultra-low crosstalk, CMOS compatible waveguide crossings for densely integrated photonic interconnection networks. , 2013, Optics express.

[39]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[40]  Coniferous softwood GENERAL TERMS , 2003 .

[41]  M. Gorodetsky,et al.  Universal formation dynamics and noise of Kerr-frequency combs in microresonators , 2012, Nature Photonics.

[42]  S. Murthy,et al.  Large-Scale InP Photonic Integrated Circuits: Enabling Efficient Scaling of Optical Transport Networks , 2007, IEEE Journal of Selected Topics in Quantum Electronics.

[43]  Chad S. Wang,et al.  Short-cavity DBR lasers integrated with high-speed electroabsorption modulators using quantum well intermixing , 2007 .

[44]  Siva Yegnanarayanan,et al.  Sub-100-nanosecond Thermal Reconfiguration of Silicon Photonic Devices References and Links , 2022 .

[45]  M. Lamponi,et al.  Widely wavelength tunable hybrid III–V/silicon laser with 45 nm tuning range fabricated using a wafer bonding technique , 2012, The 9th International Conference on Group IV Photonics (GFP).

[46]  Jie Sun,et al.  Open Foundry Platform for High-performance Electronic-photonic Integration References and Links , 2022 .

[47]  C. Doerr,et al.  Low-Loss and Broadband Cantilever Couplers Between Standard Cleaved Fibers and High-Index-Contrast Si $_{3}$N $_{4}$ or Si Waveguides , 2010, IEEE Photonics Technology Letters.

[48]  N. Feng,et al.  Low loss shallow-ridge silicon waveguides. , 2010, Optics express.

[49]  Jason Miller,et al.  ATAC: A Manycore Processor with On-Chip Optical Network , 2009 .

[50]  G. Roelkens,et al.  Efficient silicon-on-insulator fiber coupler fabricated using 248-nm-deep UV lithography , 2005, IEEE Photonics Technology Letters.

[51]  David A. B. Miller,et al.  Device Requirements for Optical Interconnects to Silicon Chips , 2009, Proceedings of the IEEE.

[52]  H. Thacker,et al.  Ultralow-loss, high-density SOI optical waveguide routing for macrochip interconnects. , 2012, Optics express.

[53]  Vladimir Stojanovic,et al.  Low-loss polysilicon waveguides fabricated in an emulated high-volume electronics process. , 2012, Optics express.

[54]  P. Juodawlkis,et al.  1.5-/spl mu/m InGaAsP-InP slab-coupled optical waveguide lasers , 2005, IEEE Photonics Technology Letters.

[55]  Christopher Batten,et al.  Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[56]  J. Bowers,et al.  Hybrid Silicon Photonics for Optical Interconnects , 2011, IEEE Journal of Selected Topics in Quantum Electronics.

[57]  H.J.S. Dorren,et al.  Fundamental bounds for photonic interconnects , 2011, 16th Opto-Electronics and Communications Conference.

[58]  John E. Cunningham,et al.  Power-efficient III-V/silicon external cavity DBR lasers. , 2012, Optics express.

[59]  Philippe Regreny,et al.  Improved design of an InP-based microdisk laser heterogeneously integrated with SOI , 2009, 2009 6th IEEE International Conference on Group IV Photonics.

[60]  J. Bowers,et al.  Widely tunable Vernier ring laser on hybrid silicon. , 2013, Optics express.

[61]  Alan F. Benner Cost-Effective Optics: Enabling the Exascale Roadmap , 2009, 2009 17th IEEE Symposium on High Performance Interconnects.

[62]  Hyundai Park,et al.  A racetrack mode-locked silicon evanescent laser. , 2008, Optics express.

[63]  A. R. Kovsh,et al.  Ridge waveguide 1310 nm lasers based on multiple stacks of InAs/GaAs quantum dots , 2003 .

[64]  M.K. Smit,et al.  A compact nine-channel multiwavelength laser , 1996, IEEE Photonics Technology Letters.

[65]  Xuezhe Zheng,et al.  Silicon Photonic Interconnects for Large-Scale Computer Systems , 2013, IEEE Micro.

[66]  K. Eikema,et al.  Analysis of hybrid mode-locking of two-section quantum dot lasers operating at 1.5 microm. , 2009, Optics express.

[67]  Hui Li,et al.  Workload Characteristics of a Multi-cluster Supercomputer , 2004, JSSPP.

[68]  R. Baughman,et al.  Carbon Nanotubes: Present and Future Commercial Applications , 2013, Science.

[69]  V. Brasch,et al.  Soliton mode-locking in optical microresonators , 2012, CLEO: 2013.

[70]  Yohan Barbarin,et al.  Characterization of a 15 GHz integrated bulk InGaAsP passively modelocked ring laser at 1.53microm. , 2006, Optics express.

[71]  Oded Cohen,et al.  Mode-locked silicon evanescent lasers. , 2007, Optics express.

[72]  S. Arai,et al.  High-performance 1.55-/spl mu/m wavelength GaInAsP-InP distributed-feedback lasers with wirelike active regions , 2001 .

[73]  Cary Gunn,et al.  CMOS Photonics for High-Speed Interconnects , 2006, IEEE Micro.

[74]  Wim Bogaerts,et al.  Fabrication-Tolerant Four-Channel Wavelength-Division-Multiplexing Filter Based on Collectively Tuned Si Microrings , 2013, Journal of Lightwave Technology.

[75]  Jason T. S. Liao,et al.  Optical I/O technology for tera-scale computing , 2009, ISSCC 2009.