Leakage power characterization and minimization in 3D stacked multi-core chips with microfluidic cooling
暂无分享,去创建一个
[1] Sudhakar Yalamanchili,et al. Co-design of multicore architectures and microfluidic cooling for 3D stacked ICs , 2013 .
[2] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[3] David Atienza,et al. 3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[4] M. Szermer,et al. Cache leakage power estimation using architectural model for 32 nm and 16 nm technology nodes , 2012, 2012 28th Annual IEEE Semiconductor Thermal Measurement and Management Symposium (SEMI-THERM).
[5] J. Jeddeloh,et al. Hybrid memory cube new DRAM architecture increases density and performance , 2012, 2012 Symposium on VLSI Technology (VLSIT).
[6] Jun Wang,et al. Manifold: A parallel simulation framework for multicore systems , 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[7] Kai Li,et al. PARSEC vs. SPLASH-2: A quantitative comparison of two multithreaded benchmark suites on Chip-Multiprocessors , 2008, 2008 IEEE International Symposium on Workload Characterization.
[8] Soha Hassoun,et al. System-level comparison of power delivery design for 2D and 3D ICs , 2009, 2009 IEEE International Conference on 3D System Integration.
[9] Yogendra Joshi,et al. Compact Modeling of 3D Stacked Die Inter-Tier Microfluidic Cooling Under Non-Uniform Heat Flux , 2012 .
[10] S. Gurrum,et al. Thermal Issues in Next Generation Integrated Circuits , 2003 .
[11] Chia-Jung Hsu. Numerical Heat Transfer and Fluid Flow , 1981 .