Dynamic Statistical-Timing-Analysis-Based VLSI Path Delay Test Pattern Generation

Nanoscale VLSI systems are subject to increasingly significant performance variability. Accurate timing analysis and effective silicon-based performance verification techniques are critical to successful nanoscale VLSI design. The state-of-the-art statistical static timing analysis (SSTA) techniques cannot capture performance variability due to primary inputs and sequential element states which, however, is critical to path delay test generation. In this paper, we present the first dynamic statistical timing analysis-based VLSI path delay test pattern generation technique. We observe that VLSI timing analysis and power estimation target the same signal toggling activity. By leveraging the existing power estimation techniques, we have developed signal-probability-based statistical timing analysis (SPSTA), and SPSTA-based VLSI delay test pattern generation (SPSTA-DTPG) techniques. Our experimental results based on ISCAS'89 benchmark circuits show that the state-of-the-art statistical static timing analysis-based delay test pattern generation (SSTA-DTPG) achieves an average of 47.32%, 45.14%, and 57.98%, SPSTA-DTPG achieves an average of 57.41%, 61.43%, and 68.05%, while signal probability-based statistical timing analysis-based delay test pattern generation with (test pattern) compaction (SPSTA-DTPG-C) achieves an average of 83.09%, 87.48% and 90.30% coverage of the top 50, 100, and 200 timing-critical paths, respectively.

[1]  Andrew B. Kahng,et al.  Statistical crosstalk aggressor alignment aware interconnect delay calculation , 2006, SLIP '06.

[2]  Mark Johnson,et al.  At-Speed Structural Test For High-Performance ASICs , 2006, 2006 IEEE International Test Conference.

[3]  David Blaauw,et al.  Variational delay metrics for interconnect timing analysis , 2004, Proceedings. 41st Design Automation Conference, 2004..

[4]  Natesan Venkateswaran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  Farid N. Najm,et al.  A survey of power estimation techniques in VLSI circuits , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[6]  Shohaib Aboobacker RAZOR: circuit-level correction of timing errors for low-power operation , 2011 .

[7]  Hai Zhou,et al.  A Timing Dependent Power Estimation Framework Considering Coupling , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[8]  David Blaauw,et al.  Statistical timing analysis for intra-die process variations with spatial correlations , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[9]  Michael Orshansky,et al.  Fast statistical timing analysis handling arbitrary delay correlations , 2004, Proceedings. 41st Design Automation Conference, 2004..

[10]  Ying Liu,et al.  Model order-reduction of RC(L) interconnect including variational analysis , 1999, DAC '99.

[11]  G. Seber Multivariate observations / G.A.F. Seber , 1983 .

[12]  Weiping Shi,et al.  A statistical fault coverage metric for realistic path delay faults , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

[13]  Jinjun Xiong,et al.  Statistical path selection for at-speed test , 2008, ICCAD 2008.

[14]  K. Keutzer,et al.  A general probabilistic framework for worst case timing analysis , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).

[15]  Weiping Shi,et al.  Longest-path selection for delay test under process variation , 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[16]  David Blaauw,et al.  Statistical gate delay model considering multiple input switching , 2004, Proceedings. 41st Design Automation Conference, 2004..

[17]  Martine Ceberio,et al.  Interval-based robust statistical techniques for non-negative convex functions, with application to timing analysis of computer chips , 2006, SAC.

[18]  Mark Mohammad Tehranipoor,et al.  Circuit Topology-Based Test Pattern Generation for Small-Delay Defects , 2010, 2010 19th IEEE Asian Test Symposium.

[19]  Michael S. Hsiao,et al.  ALAPTF: a new transition fault model and the ATPG algorithm , 2004, 2004 International Conferce on Test.

[20]  Jinjun Xiong,et al.  Robust Extraction of Spatial Correlation , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[21]  Rob A. Rutenbar,et al.  Interval-valued reduced order statistical interconnect modeling , 2004, ICCAD 2004.

[22]  Vishwani D. Agrawal,et al.  Essentials of electronic testing for digital, memory, and mixed-signal VLSI circuits [Book Review] , 2000, IEEE Circuits and Devices Magazine.

[23]  Mark Mohammad Tehranipoor,et al.  Test-Pattern Selection for Screening Small-Delay Defects in Very-Deep Submicrometer Integrated Circuits , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Ankur Srivastava,et al.  A general framework for accurate statistical timing analysis considering correlations , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[25]  Farid N. Najm,et al.  Transition density: a new measure of activity in digital circuits , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[26]  Prabhakar Goel,et al.  PODEM-X: An Automatic Test Generation System for VLSI Logic Structures , 1981, 18th Design Automation Conference.

[27]  Andrzej J. Strojwas,et al.  Path delay fault diagnosis and coverage-a metric and an estimationtechnique , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[28]  Sarvesh Bhardwaj,et al.  A Unified Approach for Full Chip Statistical Timing and Leakage Analysis of Nanoscale Circuits Considering Intradie Process Variations , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[29]  Noel Menezes,et al.  Statistical timing analysis based on a timing yield model , 2004, Proceedings. 41st Design Automation Conference, 2004..

[30]  Jinjun Xiong,et al.  Path Criticality Computation in Parameterized Statistical Timing Analysis Using a Novel Operator , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[31]  Jing Wang,et al.  K longest paths per gate (KLPG) test generation for scan-based sequential circuits , 2004 .

[32]  Trevor Mudge,et al.  Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[33]  Jinjun Xiong,et al.  Variation-aware performance verification using at-speed structural test and statistical timing , 2007, ICCAD 2007.

[34]  David Blaauw,et al.  Statistical timing analysis using bounds [IC verification] , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[35]  Jinjun Xiong,et al.  Variation-aware performance verification using at-speed structural test and statistical timing , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.