Charge transport model to predict dielectric breakdown as a function of voltage, temperature, and thickness

[1]  Sean P. Ogden,et al.  Method to Determine the Root Cause of Low- $\kappa$ SiCOH Dielectric Failure Distributions , 2017, IEEE Electron Device Letters.

[2]  Sean P. Ogden,et al.  Electron transport and dielectric breakdown in silicon nitride using a charge transport model , 2016 .

[3]  Markus G. Kuhn,et al.  Band diagram for low-k/Cu interconnects: The starting point for understanding back-end-of-line (BEOL) electrical reliability , 2016, Microelectron. Reliab..

[4]  J. W. McPherson,et al.  On why dielectric breakdown strength reduces with dielectric thickness , 2016, 2016 IEEE International Reliability Physics Symposium (IRPS).

[5]  Fen Chen,et al.  A Realistic Method for Time-Dependent Dielectric Breakdown Reliability Analysis for Advanced Technology Node , 2016, IEEE Transactions on Electron Devices.

[6]  H. Korogi,et al.  High-Performance Extremely Low-k Film Integration Technology with Metal Hard Mask Process for Cu Interconnects , 2016 .

[7]  Toh-Ming Lu,et al.  Charge transport model to predict intrinsic reliability for dielectric materials , 2015 .

[8]  Chang-Sian Wu,et al.  Thickness dependence on electrical and reliability properties for dense and porous low dielectric constant materials , 2015, 2015 IEEE International Reliability Physics Symposium.

[9]  Robin Degraeve,et al.  As-grown donor-like traps in low-k dielectrics and their impact on intrinsic TDDB reliability , 2014, Microelectron. Reliab..

[10]  Patrick M. Lenahan,et al.  Defect structure and electronic properties of SiOC:H films used for back end of line dielectrics , 2014 .

[11]  K. Croes,et al.  Towards the understanding of intrinsic degradation and breakdown mechanisms of a SiOCH low-k dielectric , 2014, 2014 IEEE International Reliability Physics Symposium.

[12]  K. Croes,et al.  Effect of line-overlay and via-misalignment on dielectric reliability for different patterning schemes , 2014, 2014 IEEE International Reliability Physics Symposium.

[13]  Fen Chen,et al.  New electrical testing structures and analysis method for MOL and BEOL process diagnostics and TDDB reliability assessment , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[14]  Fen Chen,et al.  Temperature and field interrelation study of low-k TDDB for Cu interconnects with and without liner - New insights to the roles of Cu for a competing breakdown process , 2013, 2013 IEEE International Reliability Physics Symposium (IRPS).

[15]  T. Lu,et al.  On the dynamics of Cu ions injection into low-k nanoporous materials under oscillating applied fields , 2013 .

[16]  J. W. McPherson,et al.  Time dependent dielectric breakdown physics - Models revisited , 2012, Microelectron. Reliab..

[17]  E. Liniger,et al.  The effect of voltage bias stress on temperature-dependent conduction properties of low-k dielectrics , 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

[18]  Benjamin French,et al.  X-ray photoelectron spectroscopy measurement of the Schottky barrier at the SiC(N)/Cu interface , 2011 .

[19]  Fen Chen,et al.  Extreme-Value Statistics and Poisson Area Scaling With a Fatal-Area Ratio for Low- $k$ Dielectric TDDB Modeling , 2011, IEEE Transactions on Electron Devices.

[20]  Feng Xia,et al.  Characterization and challenge of TDDB reliability in Cu/low K dielectric interconnect , 2011, 2011 International Reliability Physics Symposium.

[21]  S. Demuynck,et al.  A Comprehensive LER-Aware TDDB Lifetime Model for Advanced Cu Interconnects , 2011, IEEE Transactions on Device and Materials Reliability.

[22]  Christopher J. Wilson,et al.  Direct observation of the 1/E dependence of time dependent dielectric breakdown in the presence of copper , 2011 .

[23]  Kow-Ming Chang,et al.  Geometric Variability of Nanoscale Interconnects and Its Impact on the Time-Dependent Breakdown of Cu/Low-$k$ Dielectrics , 2010, IEEE Transactions on Device and Materials Reliability.

[24]  J. Lloyd,et al.  On the physical interpretation of the impact damage model in TDDB of low-k dielectrics , 2010, 2010 IEEE International Reliability Physics Symposium.

[25]  Guido Groeseneken,et al.  Influence of absorbed water components on SiOCH low-k reliability , 2008 .

[26]  E. Murakami,et al.  Electric-field and temperature dependencies of TDDB degradation in Cu/Low-K damascene structures , 2008, 2008 IEEE International Reliability Physics Symposium.

[27]  E. Gebreselasie,et al.  Line edge roughness and spacing effect on low-k TDDB characteristics , 2008, 2008 IEEE International Reliability Physics Symposium.

[28]  Joel L. Plawsky,et al.  A time dependent dielectric breakdown model for field accelerated low-k breakdown due to copper ions , 2007 .

[29]  Don W. Green,et al.  Perry's Chemical Engineers' Handbook , 2007 .

[30]  E. Liniger,et al.  The effect of Cu diffusion on the TDDB behavior in a low-k interlevel dielectrics , 2006, Microelectron. Reliab..

[31]  J. Michelon,et al.  Moisture influence on porous low-k reliability , 2006, IEEE Transactions on Device and Materials Reliability.

[32]  T. Sullivan,et al.  A Comprehensive Study of Low-k SiCOH TDDB Phenomena and Its Reliability Lifetime Model Development , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.

[33]  K. Makabe,et al.  A New TDDB Degradation Model Based on Cu Ion Drift in Cu Interconnect Dielectrics , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.

[34]  J. Lloyd,et al.  Simple model for time-dependent dielectric breakdown in inter- and intralevel low-k dielectrics , 2005 .

[35]  J. McPherson,et al.  Breakdown characteristics of interconnect dielectrics , 2005, 2005 IEEE International Reliability Physics Symposium, 2005. Proceedings. 43rd Annual..

[36]  Sarita V. Adve,et al.  The impact of technology scaling on lifetime reliability , 2004, International Conference on Dependable Systems and Networks, 2004.

[37]  Joe W. McPherson,et al.  Determination of the nature of molecular bonding in silica from time-dependent dielectric breakdown data , 2004 .

[38]  A. Grill,et al.  Structure of low dielectric constant to extreme low dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization , 2003 .

[39]  H. Zhou,et al.  Thickness dependent dielectric breakdown of PECVD low-k carbon doped silicon dioxide dielectric thin films: modeling and experiments , 2003, Microelectron. J..

[40]  J. Sune,et al.  A new quantitative hydrogen-based model for ultra-thin oxide breakdown , 2001, 2001 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.01 CH37184).

[41]  M. Kimura Field and temperature acceleration model for time-dependent dielectric breakdown , 1999 .

[42]  J. McPherson,et al.  UNDERLYING PHYSICS OF THE THERMOCHEMICAL E MODEL IN DESCRIBING LOW-FIELD TIME-DEPENDENT DIELECTRIC BREAKDOWN IN SIO2 THIN FILMS , 1998 .

[43]  W. Deen Analysis Of Transport Phenomena , 1998 .

[44]  S. Laux,et al.  Understanding hot‐electron transport in silicon devices: Is there a shortcut? , 1995 .

[45]  C. Hu,et al.  Hole injection oxide breakdown model for very low voltage lifetime extrapolation , 1993, 31st Annual Proceedings Reliability Physics 1993.

[46]  Chenming Hu,et al.  Temperature acceleration of time-dependent dielectric breakdown , 1989 .

[47]  Mahan,et al.  Time-dependent theory of hot electrons using the discrete Boltzmann equation. , 1987, Physical review. B, Condensed matter.

[48]  Kirtley,et al.  Theory of high-field electron transport in silicon dioxide. , 1985, Physical review. B, Condensed matter.

[49]  S. Holland,et al.  A quantitative physical model for time-dependent breakdown in SiO2 , 1985, 23rd International Reliability Physics Symposium.

[50]  C. Hu,et al.  Lucky-electron model of channel hot-electron injection in MOSFET'S , 1984 .

[51]  B. Ricco,et al.  Novel Mechanism for Tunneling and Breakdown of Thin SiO 2 Films , 1983 .

[52]  R. Muller,et al.  Hot-electron currents in very short channel MOSFET's , 1983, IEEE Electron Device Letters.

[53]  S. Asai,et al.  Submicrometer MOSFET structure for minimizing hot-carrier generation , 1982, IEEE Transactions on Electron Devices.

[54]  Arnold Berman,et al.  Time-Zero Dielectric Reliability Test by a Ramp Method , 1981, 19th International Reliability Physics Symposium.

[55]  E. S. Anolick,et al.  Low Field Time Dependent Dielectric Integrity , 1980, 17th International Reliability Physics Symposium.

[56]  P.E. Cottrell,et al.  Hot-electron emission in N-channel IGFET's , 1979, IEEE Transactions on Electron Devices.

[57]  Dwight L. Crook,et al.  Method of Determining Reliability Screens for Time Dependent Dielectric Breakdown , 1979, 17th International Reliability Physics Symposium.

[58]  H. Fröhlich,et al.  On the theory of dielectric breakdown in solids , 1947, Proceedings of the Royal Society of London. Series A. Mathematical and Physical Sciences.

[59]  H. Fröhlich Energy distribution and stability of electrons in electric fields , 1947, Proceedings of the Royal Society of London. Series A. Mathematical and Physical Sciences.

[60]  H. Fröhlich,et al.  Dielectric Breakdown in Solids , 1939 .