Software defined radio architecture survey for cognitive testbeds
暂无分享,去创建一个
[1] Jeffrey H. Reed,et al. Open-source SCA-based core framework and rapid development tools enable software-defined radio education and research , 2009, IEEE Communications Magazine.
[2] Stamatis Vassiliadis,et al. A Low-Power Multithreaded Processor for Baseband Communication Systems , 2004, SAMOS.
[3] Zhiyi Yu,et al. A 167-Processor Computational Platform in 65 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.
[4] V.R. Petty,et al. KUAR: A Flexible Software-Defined Radio Development Platform , 2007, 2007 2nd IEEE International Symposium on New Frontiers in Dynamic Spectrum Access Networks.
[5] V. Derudder,et al. A 200Mbps+ 2.14nJ/b digital baseband multi processor system-on-chip for SDRs , 2009, 2009 Symposium on VLSI Circuits.
[6] Fabien Clermidy,et al. An Open and Reconfigurable Platform for 4G Telecommunication: Concepts and Application , 2009, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools.
[7] Mirko Sauermann,et al. Architecture and implementation of a Software-Defined Radio baseband processor , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).
[8] Fabien Clermidy,et al. Open Platform for Prototyping of Advanced Software Defined Radio and Cognitive Radio Techniques , 2009, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools.
[9] Haitao Wu,et al. Sora: High Performance Software Radio Using General Purpose Multi-core Processors , 2009, NSDI.
[10] J. Mitola,et al. Software radios: Survey, critical evaluation and future directions , 1992, IEEE Aerospace and Electronic Systems Magazine.
[11] Hyunseok Lee,et al. SODA: A Low-power Architecture For Software Radio , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[12] Hilla Peretz,et al. The , 1966 .
[13] Ahmed Amine Jerraya,et al. Heterogeneous vs homogeneous MPSoC approaches for a Mobile LTE modem , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[14] Rudy Lauwereins,et al. DRESC: a retargetable compiler for coarse-grained reconfigurable architectures , 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..
[15] G. Fettweis,et al. A fully programmable 40 GOPS SDR single chip baseband for LTE/WiMAX terminals , 2008, ESSCIRC 2008 - 34th European Solid-State Circuits Conference.
[16] Kees Moerman,et al. Vector Processing as an Enabler for Software-Defined Radio in Handheld Devices , 2005, EURASIP J. Adv. Signal Process..
[17] R. Baines,et al. Software defined baseband processing for 3G base stations , 2003 .
[18] Rajasekhar Velamuri,et al. A 65nm C64x+ Multi-Core DSP Platform for Communications Infrastructure , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[19] C. John Glossner,et al. The Sandbridge SB3011 Platform , 2007, EURASIP J. Embed. Syst..
[20] Scott A. Mahlke,et al. From SODA to scotch: The evolution of a wireless baseband processor , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[21] Seiichi Nishijima,et al. Single-chip baseband signal processor for software-defined radio , 2006 .
[22] Ulrich Ramacher. Software-Defined Radio Prospects for Multistandard Mobile Phones , 2007, Computer.
[23] R. Guerrieri,et al. XiSystem: a XiRisc-based SoC with reconfigurable IO module , 2005, IEEE Journal of Solid-State Circuits.
[24] Min Li,et al. Future Software-Defined Radio Platforms and Mapping Flows , 2010, IEEE Signal Processing Magazine.
[25] T. Ulversoy,et al. Software Defined Radio: Challenges and Opportunities , 2010, IEEE Communications Surveys & Tutorials.
[26] Rudy Lauwereins,et al. A Coarse-Grained Array Accelerator for Software-Defined Radio Baseband Processing , 2008, IEEE Micro.
[27] Sumit Satarkar,et al. PERFORMANCE ANALYSIS OF THE WINC2R PLATFORM , 2009 .