An efficient power estimation methodology for complex RISC processor-based platforms

In this contribution, we propose an efficient power estimation methodology for complex RISC processor-based platforms. In this methodology, the Functional Level Power Analysis (FLPA) is used to set up generic power models for the different parts of the system. Then, a simulation framework based on virtual platform is developed to evaluate accurately the activities used in the related power models. The combination of the two parts above leads to a heterogeneous power estimation that gives a better trade-off between accuracy and speed. The usefulness and effectiveness of our proposed methodology is validated through ARM9 and ARM CortexA8 processor designed respectively around the OMAP5912 and OMAP3530 boards. This efficiency and the accuracy of our proposed methodology is evaluated by using a variety of basic programs to complete media benchmarks. Estimated power values are compared to real board measurements for the both ARM940T and ARM CortexA8 architectures. Our obtained power estimation results provide less than 3% of error for ARM940T processor, 3.5% for ARM CortexA8 processor-based system and 1x faster compared to the state-of-the-art power estimation tools.

[1]  Brian Bailey System Level Virtual Prototyping becomes a reality with OVP donation from Imperas. , 2008 .

[2]  Luca Fossati,et al.  ReSP: A Nonintrusive Transaction-Level Reflective MPSoC Simulation Platform for Design Space Exploration , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Margaret Martonosi,et al.  Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[4]  Nagu R. Dhanwada,et al.  Transaction-level modeling for architectural and power analysis of PowerPC and CoreConnect-based systems , 2005, Des. Autom. Embed. Syst..

[5]  Eric Senn,et al.  SoftExplorer: Estimation, Characterization, and Optimization of the Power and Energy Consumption at the Algorithmic Level , 2004, PATMOS.

[6]  Jean-Philippe Diguet,et al.  Model Driven High-level Power Estimation of Embedded Operating Systems Communication and Synchronization Services , 2009, ICESS 2009.

[7]  Eric Senn,et al.  Functional level power analysis: an efficient approach for modeling the power consumption of complex processors , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[8]  Jean-Philippe Diguet,et al.  Model Driven High-Level Power Estimation of Embedded Operating Systems Communication Services , 2009, 2009 International Conference on Embedded Software and Systems.

[9]  Sharad Malik,et al.  Power analysis of embedded software: a first step towards software power minimization , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[10]  Narayanan Vijaykrishnan,et al.  A power estimation methodology for systemC transaction level models , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

[11]  Peng Yang,et al.  PowerViP: SoC power estimation framework at transaction level , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[12]  Mahmut T. Kandemir,et al.  The design and use of simplePower: a cycle-accurate energy estimation tool , 2000, Proceedings 37th Design Automation Conference.

[13]  Jean-Philippe Diguet,et al.  Energy and Power Consumption Estimation for Embedded Applications and Operating Systems , 2009, J. Low Power Electron..