Full-Chip Model for Leakage-Current Estimation Considering Within-Die Correlation

In this paper, we present an efficient technique for finding the mean and variance of the full-chip leakage of a candidate design, while considering logic structures and both die-to-die and within-die (WID) process variations, and taking into account the spatial correlation due to WID variations. Our model uses a ldquorandom-gaterdquo concept to capture high-level characteristics of a candidate chip design, which are sufficient to determine its leakage. These high-level characteristics include information about the process, the standard cell library, and expected design characteristics. We show empirically that, for large gate count, the set of all chip designs that share the same high-level characteristics have approximately the same leakage, with very small error. Therefore, our model can be used as either an early or a late estimator of leakage, with high accuracy. In its simplest form, we show that full-chip-leakage estimation reduces in finding the area under a scaled version of the WID channel length autocorrelation function, which can be done in constant time.

[1]  Kaushik Roy,et al.  Accurate estimation and modeling of total chip leakage considering inter- & intra-die process variations , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[2]  David Blaauw,et al.  Modeling and analysis of leakage power considering within-die process variations , 2002, ISLPED '02.

[3]  Kaushik Roy,et al.  Leakage in nano-scale technologies: mechanisms, impact and design considerations , 2004, Proceedings. 41st Design Automation Conference, 2004..

[4]  Kaustav Banerjee,et al.  Subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[5]  Anantha Chandrakasan,et al.  Full-chip sub-threshold leakage power prediction model for sub-0.18 μm CMOS , 2002, ISLPED '02.

[6]  Anantha Chandrakasan,et al.  Full-chip subthreshold leakage power prediction model for sub-0 . 18 m CMOS , 2002 .

[7]  Shekhar Y. Borkar,et al.  Design challenges of technology scaling , 1999, IEEE Micro.

[8]  John G. Proakis,et al.  Probability, random variables and stochastic processes , 1985, IEEE Trans. Acoust. Speech Signal Process..

[9]  David Blaauw,et al.  Statistical analysis of subthreshold leakage current for VLSI circuits , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  S. G. Duvall,et al.  Statistical circuit modeling and optimization , 2000, 2000 5th International Workshop on Statistical Metrology (Cat.No.00TH8489.

[11]  Sachin S. Sapatnekar,et al.  Statistical Timing Analysis Considering Spatial Correlations using a Single Pert-Like Traversal , 2003, ICCAD 2003.

[12]  Jinjun Xiong,et al.  Robust Extraction of Spatial Correlation , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  Domenik Helms,et al.  Analysis and Modeling of Subthreshold Leakage of RT-Components under PTV and State Variation , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

[14]  Sachin S. Sapatnekar,et al.  Full-chip analysis of leakage power under process variations, including spatial correlations , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[15]  David Blaauw,et al.  Simultaneous subthreshold and gate-oxide tunneling leakage current analysis in nanometer CMOS design , 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

[16]  Keith A. Bowman,et al.  Measurements and modeling of intrinsic fluctuations in MOSFET threshold voltage , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[17]  Jorge J. Moré,et al.  The Levenberg-Marquardt algo-rithm: Implementation and theory , 1977 .

[18]  David Blaauw,et al.  Modeling and analysis of parametric yield under power and performance constraints , 2005, IEEE Design & Test of Computers.