A physical design tool for carbon nanotube field-effect transistor circuits

In this article, we present a graphical Computer-Aided Design (CAD) environment for the design, analysis, and layout of Carbon NanoTube (CNT) Field-Effect Transistor (CNFET) circuits. This work is motivated by the fact that such a tool currently does not exist in the public domain for researchers. Our tool has been integrated within Electric a very powerful, yet free CAD system for custom design of Integrated Circuits (ICs). The tool supports CNFET schematic and layout entry, rule checking, and HSpice/VerilogA netlist generation. We provide users with a customizable CNFET technology library with the ability to specify λ-based design rules. We showcase the capabilities of our tool by demonstrating the design of a large CNFET standard cell and components library. Meanwhile, HSPICE simulations also have been presented for cell library characterization. We hope that the availability of this tool will invigorate the CAD community to explore novel ideas in CNFET circuit design.

[1]  Jie Deng,et al.  A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including Nonidealities and Its Application—Part II: Full Device Model and Circuit Performance Benchmarking , 2007, IEEE Transactions on Electron Devices.

[2]  Michael Butts,et al.  Molecular electronics: devices, systems and tools for gigagate, gigabit chips , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[3]  Qin Zhang,et al.  Low-subthreshold-swing tunnel transistors , 2006, IEEE Electron Device Letters.

[4]  Charles M. Lieber,et al.  High Performance Silicon Nanowire Field Effect Transistors , 2003 .

[5]  Aachen,et al.  A Graphene Field-Effect Device , 2007, IEEE Electron Device Letters.

[6]  H. Wong,et al.  A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including Nonidealities and Its Application—Part I: Model of the Intrinsic Channel Region , 2007, IEEE Transactions on Electron Devices.

[7]  Wei Zhang,et al.  ALLCN: an automatic logic-to-layout tool for carbon nanotube based nanotechnology , 2005, 2005 International Conference on Computer Design.

[8]  P. Ajayan,et al.  Reliability and current carrying capacity of carbon nanotubes , 2001 .

[9]  S. Mitra,et al.  Threshold Voltage and On–Off Ratio Tuning for Multiple-Tube Carbon Nanotube FETs , 2009, IEEE Transactions on Nanotechnology.

[10]  Charles M. Lieber,et al.  Diameter-Controlled Synthesis of Carbon Nanotubes , 2002 .

[11]  Hai Wei,et al.  Imperfection-immune VLSI logic circuits using Carbon Nanotube Field Effect Transistors , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[12]  H. Wong,et al.  Modeling and Analysis of Planar-Gate Electrostatic Capacitance of 1-D FET With Multiple Cylindrical Conducting Channels , 2007, IEEE Transactions on Electron Devices.

[13]  Wolfgang Porod,et al.  Magnetic quantum-dot cellular automata: Recent developments and prospects , 2008 .

[14]  Nishant Patil,et al.  Carbon Nanotube circuits in the presence of carbon nanotube density variations , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[15]  P. Avouris,et al.  Engineering Carbon Nanotubes and Nanotube Circuits Using Electrical Breakdown , 2001, Science.

[16]  Wei Zhang,et al.  NATURE: a hybrid nanotube/CMOS dynamically reconfigurable architecture , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[17]  Daniel J. Sorin,et al.  Semi-empirical SPICE models for carbon nanotube FET logic , 2004, 4th IEEE Conference on Nanotechnology, 2004..

[18]  H.-S. Philip Wong,et al.  Automated Design of Misaligned-Carbon-Nanotube-Immune Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[19]  Kaushik Roy,et al.  A circuit-compatible model of ballistic carbon nanotube field-effect transistors , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[20]  John A. Rogers,et al.  Improved Synthesis of Aligned Arrays of Single-Walled Carbon Nanotubes and Their Implementation in Thin Film Type Transistors† , 2007 .

[21]  Yasuo Takahashi,et al.  Single-electron-based flexible multivalued logic gates , 2008 .

[22]  Steven M. Nowick,et al.  ACM Journal on Emerging Technologies in Computing Systems , 2010, TODE.

[23]  Jing Guo,et al.  Carbon Nanotube Field-Effect Transistors with Integrated Ohmic Contacts and High-κ Gate Dielectrics , 2004 .

[24]  Liwei Shang,et al.  Diameter-dependant thermal conductance models of carbon nanotubes , 2007, 2007 7th IEEE Conference on Nanotechnology (IEEE NANO).

[25]  J. Rogers,et al.  High-performance electronics using dense, perfectly aligned arrays of single-walled carbon nanotubes. , 2007, Nature nanotechnology.

[26]  Dmitri E. Nikonov,et al.  Performance Projections for Ballistic Graphene Nanoribbon Field-Effect Transistors , 2007, IEEE Transactions on Electron Devices.

[27]  Kaustav Banerjee,et al.  Performance analysis of carbon nanotube interconnects for VLSI applications , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[28]  Wei Zhang,et al.  NanoMap: An Integrated Design Optimization Flow for a Hybrid Nanotube/CMOS Dynamically Reconfigurable Architecture , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[29]  Charles M. Lieber,et al.  Ge/Si nanowire heterostructures as high-performance field-effect transistors , 2006, Nature.

[30]  E. Campbell,et al.  A carbon nanotube gated carbon nanotube transistor with 5 ps gate delay , 2008, Nanotechnology.

[31]  Giovanni De Micheli,et al.  Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[32]  Nishant Patil,et al.  Design Guidelines for Metallic-Carbon-Nanotube-Tolerant Digital Logic Circuits , 2008, 2008 Design, Automation and Test in Europe.

[33]  P. J. Burke An RF circuit model for carbon nanotubes , 2003 .

[34]  H.-S. Philip Wong,et al.  Carbon nanotube transistor compact model for circuit design and performance optimization , 2008, JETC.