Fast and Accurate Routing Demand Estimation for Efficient Routability-driven Placement

This paper presents a fast and accurate routing demand estimation called RUDY and its efficient integration in a force-directed quadratic placer to optimize placements for routability. RUDY is based on a Rectangular Uniform wire DensitY per net and accurately models the routing demand of a circuit as determined by the wire distribution after final routing. Unlike published routing demand estimation, RUDY depends neither on a bin structure nor on a certain routing model to estimate the behavior of a router. Therefore RUDY is independent of the router. Our fast and robust force-directed quadratic placer is based on a generic demand-and-supply model and is guided by the routing demand estimation RUDY to optimize placements for routability. This yields a placer which simultaneously reduces the routing demand in congested regions and increases the routing supply there. Therefore our placer fully utilizes the potential to optimize the routability. This results in the best published routed wirelength of the IBMv2 benchmark suite until now. In detail, our approach outperforms mPL, ROOSTER, and APlace by 9%, 8%, and 5%, respectively. Compared by the CPU times, which ROOSTER needs to place this benchmark, our routability optimization placer is eight times faster.

[1]  Yici Cai,et al.  A new congestion-driven placement algorithm based on cell inflation , 2001, ASP-DAC '01.

[2]  Jason Cong,et al.  Physical hierarchy generation with routing congestion control , 2002, ISPD '02.

[3]  Chih-Liang Eric Cheng RISA: accurate and efficient placement routability modeling , 1994, ICCAD.

[4]  Morteza Saheb Zamani,et al.  Prediction and reduction of routing congestion , 2006, ISPD '06.

[5]  Andrew B. Kahng,et al.  Implementation and extensibility of an analytic placer , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  Majid Sarrafzadeh,et al.  Congestion minimization during placement , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[7]  Shankar Krishnamoorthy,et al.  Estimating routing congestion using probabilistic analysis , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  Majid Sarrafzadeh,et al.  On the behavior of congestion minimization during placement , 1999, ISPD '99.

[9]  Jarrod A. Roy,et al.  Seeing the Forest and the Trees: Steiner Wirelength Optimization in Placement , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Kenneth M. Hall An r-Dimensional Quadratic Placement Algorithm , 1970 .

[11]  Jason Cong,et al.  Routability-driven placement and white space allocation , 2004, ICCAD.

[12]  Bo Hu,et al.  Congestion minimization during placement without estimation , 2002, ICCAD.

[13]  Zhuoyuan Li,et al.  Congestion driven incremental placement algorithm for standard cell layout , 2003, ASP-DAC '03.

[14]  Andrew B. Kahng,et al.  Accurate pseudo-constructive wirelength and congestion estimation , 2003, SLIP '03.

[15]  Majid Sarrafzadeh,et al.  Modeling and minimization of routing congestion , 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

[16]  Ulrich Brenner,et al.  An effective congestion driven placement framework , 2002, ISPD '02.

[17]  Majid Sarrafzadeh,et al.  Multi-center congestion estimation and minimization during placement , 2000, ISPD '00.

[18]  Majid Sarrafzadeh,et al.  Congestion estimation during top-down placement , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[19]  Shantanu Dutt,et al.  Algorithms for simultaneous satisfaction of multiple constraints and objective optimization in a placement flow with application to congestion control , 2002, DAC '02.

[20]  Patrick Groeneveld,et al.  Probabilistic congestion prediction , 2004, ISPD '04.

[21]  Majid Sarrafzadeh,et al.  Routability driven white space allocation for fixed-die standard-cell placement , 2002, ISPD '02.

[22]  Richard B. Brown,et al.  Congestion driven quadratic placement , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[23]  Jarrod A. Roy,et al.  Seeing the Forest and the Trees: Steiner Wirelength Optimization in Placement , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Chris Chu FLUTE: fast lookup table based wirelength estimation technique , 2004, ICCAD 2004.

[25]  Raia Hadsell,et al.  Improved global routing through congestion estimation , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).