Energy efficient in-memory AES encryption based on nonvolatile domain-wall nanowire

The widely applied Advanced Encryption Standard (AES) encryption algorithm is critical in secure big-data storage. Data oriented applications have imposed high throughput and low power, i.e., energy efficiency (J/bit), requirements when applying AES encryption. This paper explores an in-memory AES encryption using the newly introduced domain-wall nanowire. We show that all AES operations can be fully mapped to a logic-in-memory architecture by non-volatile domain-wall nanowire, called DW-AES. The experimental results show that DW-AES can achieve the best energy efficiency of 24 pJ/bit, which is 9X and 6.5X times better than CMOS ASIC and memristive CMOL implementations, respectively. Under the same area budget, the proposed DW-AES exhibits 6.4X higher throughput and 29% power saving compared to a CMOS ASIC implementation; 1.7X higher throughput and 74% power reduction compared to a memristive CMOL implementation.

[1]  Kuei-Hung Shen,et al.  Racetrack Memory: A high-performance, low-cost, non-volatile memory based on magnetic domain walls , 2011, 2011 International Electron Devices Meeting.

[2]  Hao Yu,et al.  An ultralow-power memory-based big-data computing platform by nonvolatile domain-wall nanowire devices , 2013, International Symposium on Low Power Electronics and Design (ISLPED).

[3]  Shoji Ikeda,et al.  2Mb Spin-Transfer Torque RAM (SPRAM) with Bit-by-Bit Bidirectional Current Write and Parallelizing-Direction Current Read , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[4]  Norman P. Jouppi,et al.  CACTI: an enhanced cache access and cycle time model , 1996, IEEE J. Solid State Circuits.

[5]  Hao Yu,et al.  Design of non-destructive single-sawtooth pulse based readout for STT-RAM by NVM-SPICE , 2013, 2012 12th Annual Non-Volatile Memory Technology Symposium Proceedings.

[6]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[7]  K. Roy,et al.  Numerical analysis of domain wall propagation for dense memory arrays , 2011, 2011 International Electron Devices Meeting.

[8]  Hao Yu,et al.  Analysis and Modeling of Internal State Variables for Dynamic Effects of Nonvolatile Memory Devices , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[9]  Hao Yu,et al.  SPICE simulator for hybrid CMOS memristor circuit and system , 2012, 2012 13th International Workshop on Cellular Nanoscale Networks and their Applications.

[10]  Wei Zhang,et al.  Design Exploration of Hybrid CMOS and Memristor Circuit by New Modified Nodal Analysis , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Wei Zhang,et al.  Design of low power 3D hybrid memory by non-volatile CBRAM-crossbar with block-level data-retention , 2012, ISLPED '12.

[12]  Hao Yu,et al.  Energy efficient in-memory machine learning for data intensive image-processing by non-volatile domain-wall memory , 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

[13]  Berk Sunar,et al.  Energy Comparison of AES and SHA-1 for Ubiquitous Computing , 2006, EUC Workshops.

[14]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[15]  Z. Abid,et al.  Efficient CMOL Gate Designs for Cryptography Applications , 2009, IEEE Transactions on Nanotechnology.

[16]  S. Parkin,et al.  Magnetic Domain-Wall Racetrack Memory , 2008, Science.