ElasticCore: Enabling dynamic heterogeneity with joint core and voltage/frequency scaling
暂无分享,去创建一个
Houman Homayoun | Ioannis Savidis | Mohammad Hossein Hajkazemi | Divya Pathak | Mohammad Khavari Tavana | I. Savidis | H. Homayoun | Divya Pathak
[1] Tulika Mitra,et al. Heterogeneous Multi-core Architectures , 2015, IPSJ Trans. Syst. LSI Des. Methodol..
[2] Dean M. Tullsen,et al. Symbiotic jobscheduling with priorities for a simultaneous multithreading processor , 2002, SIGMETRICS '02.
[3] Stéphan Jourdan,et al. Haswell: The Fourth-Generation Intel Core Processor , 2014, IEEE Micro.
[4] S. Winkel. Optimal versus Heuristic Global Code Scheduling , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[5] Scott A. Mahlke,et al. Composite Cores: Pushing Heterogeneity Into a Core , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.
[6] David M. Brooks,et al. Accurate and efficient regression modeling for microarchitectural performance and power prediction , 2006, ASPLOS XII.
[7] Tejas Karkhanis,et al. Energy efficient co-adaptive instruction fetch and issue , 2003, ISCA '03.
[8] Gürhan Küçük,et al. Dynamic resizing of superscalar datapath components for energy efficiency , 2006, IEEE Transactions on Computers.
[9] Michael C. Huang,et al. Dynamically Tuning Processor Resources with Adaptive Processing , 2003, Computer.
[10] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[11] Karthikeyan Sankaralingam,et al. Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.
[12] Jason Cong,et al. Energy-efficient scheduling on heterogeneous multi-core architectures , 2012, ISLPED '12.
[13] Houman Homayoun,et al. Enabling dynamic heterogeneity through core-on-core stacking , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[14] Fabrice Paillet,et al. FIVR — Fully integrated voltage regulators on 4th generation Intel® Core™ SoCs , 2014, 2014 IEEE Applied Power Electronics Conference and Exposition - APEC 2014.
[15] Norman P. Jouppi,et al. Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction , 2003, MICRO.
[16] FoleyDenis,et al. AMD Fusion APU , 2012 .
[17] Eby G. Friedman,et al. Heterogeneous Methodology for Energy Efficient Distribution of On-Chip Power Supplies , 2013, IEEE Transactions on Power Electronics.
[18] Dean M. Tullsen,et al. Fellowship - Simulation And Modeling Of A Simultaneous Multithreading Processor , 1996, Int. CMG Conference.
[19] Yale N. Patt,et al. MorphCore: An Energy-Efficient Microarchitecture for High Performance ILP and High Throughput TLP , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.
[20] Engin Ipek,et al. Core fusion: accommodating software diversity in chip multiprocessors , 2007, ISCA '07.
[21] Andreas Moshovos,et al. Instruction flow-based front-end throttling for power-aware high-performance processors , 2001, ISLPED '01.
[22] Meeta Sharma Gupta,et al. System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[23] Maurice Steinman,et al. AMD Fusion APU: Llano , 2012, IEEE Micro.