A robust, subthreshold 12T SRAM bitcell with BL leakage compensation and bit-interleaving capability
暂无分享,去创建一个
Shushan Qiao | Jia Yuan | Heng You | Debin Kong | Shan-shan Li | Shushan Qiao | Shan-shan Li | Debin Kong | Heng You | J. Yuan
[1] H. Yamauchi,et al. A Stable 2-Port SRAM Cell Design Against Simultaneously Read/Write-Disturbed Accesses , 2008, IEEE Journal of Solid-State Circuits.
[2] K. Roy,et al. A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM , 2007, IEEE Journal of Solid-State Circuits.
[3] Leland Chang,et al. A 5.3GHz 8T-SRAM with Operation Down to 0.41V in 65nm CMOS , 2007, 2007 IEEE Symposium on VLSI Circuits.
[4] Anantha Chandrakasan,et al. A 128 Kbit SRAM With an Embedded Energy Monitoring Circuit and Sense-Amplifier Offset Compensation Using Body Biasing , 2014, IEEE Journal of Solid-State Circuits.
[5] Christer Svensson,et al. Trading speed for low power by choice of supply and threshold voltages , 1993 .
[6] Jonathan Chang,et al. A 16 nm 128 Mb SRAM in High- $\kappa$ Metal-Gate FinFET Technology With Write-Assist Circuitry for Low-VMIN Applications , 2014, IEEE Journal of Solid-State Circuits.
[7] J. Maiz,et al. Characterization of multi-bit soft error events in advanced SRAMs , 2003, IEEE International Electron Devices Meeting 2003.
[8] Yong Hei,et al. High noise margin 12T subthreshold SRAM cell with enhanced read speed and eliminated half-selected problem , 2016, 2016 13th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).
[9] C.H. Kim,et al. A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing , 2008, IEEE Journal of Solid-State Circuits.
[10] William J. Dally,et al. A 28 nm 2 Mbit 6 T SRAM With Highly Configurable Low-Voltage Write-Ability Assist Implementation and Capacitor-Based Sense-Amplifier Input Offset Compensation , 2016, IEEE Journal of Solid-State Circuits.
[11] Kaushik Roy,et al. Ultra-low-power DLMS adaptive filter for hearing aid applications , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[12] Robin Wilson,et al. Scalable 0.35 V to 1.2 V SRAM Bitcell Design From 65 nm CMOS to 28 nm FDSOI , 2014, IEEE Journal of Solid-State Circuits.
[13] Kaushik Roy,et al. Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[14] Taejoong Song,et al. 13.2 A 14nm FinFET 128Mb 6T SRAM with VMIN-enhancement techniques for low-power applications , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[15] Yong Hei,et al. A PMOS read-port 8T SRAM cell with optimized leakage power and enhanced performance , 2017, IEICE Electron. Express.
[16] J. Tschanz,et al. Neutron soft error rate measurements in a 90-nm CMOS process and scaling trends in SRAM from 0.25-/spl mu/m to 90-nm generation , 2003, IEEE International Electron Devices Meeting 2003.