Atomic layer deposition of transition metal films and nanostructures for electronic and catalytic applications

Abstract Atomic layer deposition (ALD) has emerged as the technique of choice in the microelectronics industry, owing to its self-limiting nature, that allows conformal film deposition in highly confined spaces. However, while the ALD of metal oxide has developed dramatically over the past decade, ALD of pure metal, particularly the transition metals has been developing at a very slow pace. This article reviews the latest development in the ALD of pure transition metals and alloys, for electronic and catalytic applications. In particular, the article analyzes how different factors, such as the substrate properties, deposition conditions, precursor and co-reactant properties, influence the deposition of the metal films and nanostructures, as well as the emerging applications of the ALD derived transition metal nanostructures. The challenges facing the field are highlighted, and suggestions are made for future research directions.

[1]  Qinghua Zhang,et al.  Directly transforming copper (I) oxide bulk into isolated single-atom copper sites catalyst through gas-transport approach , 2019, Nature Communications.

[2]  W. Weinreich,et al.  ToF-SIMS 3D Analysis of Thin Films Deposited in High Aspect Ratio Structures via Atomic Layer Deposition and Chemical Vapor Deposition , 2019, Nanomaterials.

[3]  W. Kessels,et al.  Status and prospects of plasma-assisted atomic layer deposition , 2019, Journal of Vacuum Science & Technology A.

[4]  S. Bent,et al.  Opportunities for Atomic Layer Deposition in Emerging Energy Technologies , 2019, ACS Energy Letters.

[5]  David-Wei Zhang,et al.  Plasma-Enhanced Atomic Layer Deposition of Cobalt Films Using Co(EtCp)2 as a Metal Precursor , 2019, Nanoscale Research Letters.

[6]  Xinfang Liu,et al.  High growth per cycle thermal atomic layer deposition of Ni films using an electron-rich precursor. , 2019, Nanoscale.

[7]  S. Bent,et al.  Area-Selective Atomic Layer Deposition Assisted by Self-Assembled Monolayers: A Comparison of Cu, Co, W, and Ru , 2019, Chemistry of Materials.

[8]  W. Kessels,et al.  From the Bottom-Up: Toward Area-Selective Atomic Layer Deposition with High Selectivity† , 2018, Chemistry of materials : a publication of the American Chemical Society.

[9]  M. Bechelany,et al.  Atomic layer deposition for biosensing applications. , 2018, Biosensors & bioelectronics.

[10]  Jin-seong Park,et al.  Review Article: Atomic layer deposition for oxide semiconductor thin film transistors: Advances in research and development , 2018, Journal of Vacuum Science & Technology A.

[11]  A. Julbe,et al.  Atomic Layer Deposition for Membranes: Basics, Challenges, and Opportunities , 2018, Chemistry of Materials.

[12]  X. Sun,et al.  Single-atom catalysts by the atomic layer deposition technique , 2018 .

[13]  C. Winter,et al.  Low Temperature, Selective Atomic Layer Deposition of Nickel Metal Thin Films. , 2018, ACS applied materials & interfaces.

[14]  M. Bechelany,et al.  Enhanced Catalytic Glycerol Oxidation Activity Enabled by Activated‐Carbon‐Supported Palladium Catalysts Prepared through Atomic Layer Deposition , 2018 .

[15]  Tao Zhang,et al.  Atomically dispersed Ni(i) as the active site for electrochemical CO2 reduction , 2018 .

[16]  Jiwhan Kim,et al.  Single-Atom Catalysts of Precious Metals for Electrochemical Reactions. , 2018, ChemSusChem.

[17]  D. T. Zimmerman,et al.  Nucleation and growth of copper selective-area atomic layer deposition on palladium nanostructures. , 2017, The Journal of chemical physics.

[18]  K. Cao,et al.  Atomic Layer Deposition of Ni on Cu Nanoparticles for Methanol Synthesis from CO2 Hydrogenation , 2017 .

[19]  D. Peeters,et al.  Low-Temperature Atomic Layer Deposition of Low-Resistivity Copper Thin Films Using Cu(dmap)2 and Tertiary Butyl Hydrazine , 2017 .

[20]  Xinhai Xu,et al.  Review on Copper and Palladium Based Catalysts for Methanol Steam Reforming to Produce Hydrogen , 2017 .

[21]  M. H. van der Veen,et al.  Reliability study on cobalt and ruthenium as alternative metals for advanced interconnects , 2017, 2017 IEEE International Reliability Physics Symposium (IRPS).

[22]  Hyungjun Kim,et al.  Atomic layer deposition of 1D and 2D nickel nanostructures on graphite , 2017, Nanotechnology.

[23]  S. Mathur,et al.  Metallic Copper Thin Films Grown by Plasma‐Enhanced Atomic Layer Deposition of Air Stable Precursors   , 2017 .

[24]  M. Karppinen,et al.  Efficient Process for Direct Atomic Layer Deposition of Metallic Cu Thin Films Based on an Organic Reductant , 2017 .

[25]  R. Li,et al.  Platinum single-atom and cluster catalysis of the hydrogen evolution reaction , 2016, Nature Communications.

[26]  Yuyan Shao,et al.  Electrocatalysts by atomic layer deposition for fuel cell applications , 2016 .

[27]  Oleksandr Voznyy,et al.  Enhanced electrocatalytic CO2 reduction via field-induced reagent concentration , 2016, Nature.

[28]  C. Detavernier,et al.  Magnetic and electrical characterization of nickel-rich NiFe thin films synthesized by atomic layer deposition and subsequent thermal reduction , 2016, Nanotechnology.

[29]  J. Elam,et al.  Atomic layer deposition-Sequential self-limiting surface reactions for advanced catalyst "bottom-up" synthesis , 2016 .

[30]  Jinlong Yang,et al.  Activating Edge Sites on Pd Catalysts for Selective Hydrogenation of Acetylene via Selective Ga2O3 Decoration , 2016 .

[31]  J. Schuster,et al.  Surface chemistry of copper metal and copper oxide atomic layer deposition from copper(ii) acetylacetonate: a combined first-principles and reactive molecular dynamics study. , 2015, Physical chemistry chemical physics : PCCP.

[32]  C. M. Thrush,et al.  Thermal Atomic Layer Deposition of Titanium Films Using Titanium Tetrachloride and 2-Methyl-1,4-bis(trimethylsilyl)-2,5-cyclohexadiene or 1,4-Bis(trimethylsilyl)-1,4-dihydropyrazine , 2015 .

[33]  Matthew M. Montemore,et al.  Enhanced Dry Reforming of Methane on Ni and Ni-Pt Catalysts Synthesized by Atomic Layer Deposition , 2015 .

[34]  David H. K. Jackson,et al.  Catalyst Design with Atomic Layer Deposition , 2015 .

[35]  Shiwei Lin,et al.  Enhanced microwave absorption of ZnO coated with Ni nanoparticles produced by atomic layer deposition , 2015 .

[36]  N. Dasgupta,et al.  Atomic layer deposition of metal sulfide materials. , 2015, Accounts of chemical research.

[37]  D. Lenoble,et al.  Tailoring the Properties of Atomic Layer Deposited Nickel and Nickel Carbide Thin Films via Chain-Length Control of the Alcohol Reducing Agents , 2014 .

[38]  A. Bol,et al.  The use of atomic layer deposition in advanced nanopatterning. , 2014, Nanoscale.

[39]  Abdullah M. Asiri,et al.  Synergistic geometric and electronic effects for electrochemical reduction of carbon dioxide using gold–copper bimetallic nanoparticles , 2014, Nature Communications.

[40]  Stacey F. Bent,et al.  A brief review of atomic layer deposition: from fundamentals to applications , 2014 .

[41]  A. Dolocan,et al.  Atomic Interdiffusion and Diffusive Stabilization of Cobalt by Copper During Atomic Layer Deposition from Bis(N-tert-butyl-N'-ethylpropionamidinato) Cobalt(II). , 2014, The journal of physical chemistry letters.

[42]  Y. Lei,et al.  Toward atomically-precise synthesis of supported bimetallic nanoparticles using atomic layer deposition , 2014, Nature Communications.

[43]  M. Ritala,et al.  Atomic Layer Deposition of Noble Metals and Their Oxides , 2014 .

[44]  T. J. Knisley,et al.  Precursors and chemistry for the atomic layer deposition of metallic first row transition metal films , 2013 .

[45]  Y. Chabal,et al.  Precursor design and reaction mechanisms for the atomic layer deposition of metal films , 2013 .

[46]  L. C. Kalutarage,et al.  Volatile and thermally stable mid to late transition metal complexes containing α-imino alkoxide ligands, a new strongly reducing coreagent, and thermal atomic layer deposition of Ni, Co, Fe, and Cr metal films. , 2013, Journal of the American Chemical Society.

[47]  A. Lubers,et al.  Synthesis of supported Ni catalysts by atomic layer deposition , 2013 .

[48]  R. Li,et al.  Single-atom Catalysis Using Pt/Graphene Achieved through Atomic Layer Deposition , 2013, Scientific Reports.

[49]  Hyungjun Kim,et al.  Plasma-enhanced atomic layer deposition of Co using Co(MeCp)2 precursor , 2013 .

[50]  Mikko Ritala,et al.  Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends , 2013 .

[51]  Jong-Wan Park,et al.  Plasma-enhanced atomic layer deposition of Cu–Mn films with formation of a MnSixOy barrier layer , 2012 .

[52]  M. Verheijen,et al.  Supported Core/Shell Bimetallic Nanoparticles Synthesis by Atomic Layer Deposition , 2012 .

[53]  K. Barmak,et al.  Effect of downscaling nano-copper interconnects on the microstructure revealed by high resolution TEM-orientation-mapping , 2012, Nanotechnology.

[54]  Han-Bo-Ram Lee,et al.  Initial Stage Growth during Plasma-Enhanced Atomic Layer Deposition of Cobalt† , 2012 .

[55]  H. Wong,et al.  Effect of annealing ambient and temperature on the electrical characteristics of atomic layer deposition Al2O3/In0.53Ga0.47As metal-oxide-semiconductor capacitors and MOSFETs , 2012 .

[56]  T. Sajavaara,et al.  Low Temperature Growth of High Purity, Low Resistivity Copper Films by Atomic Layer Deposition , 2011 .

[57]  Se Stephen Potts,et al.  Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges , 2011 .

[58]  J. Elam,et al.  Subnanometer Palladium Particles Synthesized by Atomic Layer Deposition , 2011 .

[59]  Jae Hong Kim,et al.  Effects of the substrate temperature on the Cu seed layer formed using atomic layer deposition , 2011 .

[60]  A. Teplyakov,et al.  Reactivity of selectively terminated single crystal silicon surfaces. , 2010, Chemical Society reviews.

[61]  Hyungjun Kim,et al.  Plasma-Enhanced Atomic Layer Deposition of Cobalt Using Cyclopentadienyl Isopropyl Acetamidinato-Cobalt as a Precursor , 2010 .

[62]  C. Park,et al.  Plasma-Enhanced Atomic Layer Deposition of Ni , 2010 .

[63]  B. Lee,et al.  Low-temperature atomic layer deposition of copper metal thin films: self-limiting surface reaction of copper dimethylamino-2-propoxide with diethylzinc. , 2009, Angewandte Chemie.

[64]  Wmm Erwin Kessels,et al.  Surface passivation of high‐efficiency silicon solar cells by atomic‐layer‐deposited Al2O3 , 2008 .

[65]  Manos Mavrikakis,et al.  On the mechanism of low-temperature water gas shift reaction on copper. , 2008, Journal of the American Chemical Society.

[66]  Clemens Burda,et al.  Chemically synthesized nitrogen-doped metal oxide nanoparticles , 2007 .

[67]  S. Yeom,et al.  Characteristics of Ti -Capped Co Films Deposited by a Remote Plasma ALD Method Using Cyclopentadienylcobalt Dicarbonyl , 2007 .

[68]  H. Jeon,et al.  Characteristics of cobalt thin films deposited by remote plasma ALD method with dicobalt octacarbonyl , 2007 .

[69]  Jarrn-Horng Lin,et al.  Properties of Cu(thd)2 as a precursor to prepare Cu/SiO2 catalyst using the atomic layer epitaxy technique. , 2006, Journal of the American Chemical Society.

[70]  R. Gordon,et al.  Atomic Layer Deposition of Ultrathin Copper Metal Films from a Liquid Copper(I) Amidinate Precursor , 2006 .

[71]  Kyungmin Kim,et al.  Formation of Low-Resistivity Nickel Silicide with High Temperature Stability from Atomic-Layer-Deposited Nickel Thin Film , 2006 .

[72]  G. Pacchioni,et al.  Theory of Carbon Doping of Titanium Dioxide , 2005 .

[73]  Tobias Törndahl,et al.  Growth of copper metal by atomic layer deposition using copper(I) chloride, water and hydrogen as precursors , 2004 .

[74]  Mikko Ritala,et al.  Atomic layer deposition chemistry: recent developments and future challenges. , 2003, Angewandte Chemie.

[75]  Hyungjun Kim,et al.  Atomic layer deposition of metal and nitride thin films: Current research efforts and applications for semiconductor device processing , 2003 .

[76]  R. Gordon,et al.  Atomic layer deposition of transition metals , 2003, Nature materials.

[77]  R. Solanki,et al.  Characteristics of copper films produced via atomic layer deposition , 2002 .

[78]  Sang-Won Kang,et al.  Atomic Layer Deposition of Nickel by the Reduction of Preformed Nickel Oxide , 2002 .

[79]  Leena‐Sisko Johansson,et al.  Studies of metallic thin film growth in an atomic layer epitaxy reactor using M(acac)2 (M = Ni, cu, Pt) precursors , 2000 .

[80]  M. Ritala,et al.  Deposition of molybdenum thin films by an alternate supply of MoCl5 and Zn , 1998 .

[81]  G. A. Somorjai,et al.  THE SURFACE COMPOSITION OF BINARY SYSTEMS. PREDICTION OF SURFACE PHASE DIAGRAMS OF SOLID SOLUTIONS , 1975 .

[82]  K. Cao,et al.  Review Article: Catalysts design and synthesis via selective atomic layer deposition , 2018 .

[83]  B. Hwang,et al.  A mini review on nickel-based electrocatalysts for alkaline hydrogen evolution reaction , 2015, Nano Research.

[84]  Joel W. Clancey,et al.  Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: Application to high surface area substrates , 2015 .

[85]  Jong-Wan Park,et al.  Self-forming Al oxide barrier for nanoscale Cu interconnects created by hybrid atomic layer deposition of Cu–Al alloy , 2014 .

[86]  Wei He,et al.  ALD: Atomic Layer Deposition – Precise and Conformal Coating for Better Performance , 2013 .

[87]  Seunghun Hong,et al.  Atomic Layer Deposition of Ni Thin Films and Application to Area-Selective Deposition , 2011 .

[88]  S. George Atomic layer deposition: an overview. , 2010, Chemical reviews.

[89]  P. McIntyre,et al.  Atomic layer deposition of ultrathin metal-oxide films for nano-scale device applications , 2006 .

[90]  M. Ritala,et al.  Radical-Enhanced Atomic Layer Deposition of Metallic Copper Thin Films , 2005 .