Design and evaluation of a high throughput QoS-aware and congestion-aware router architecture for Network-on-Chip

Abstract This paper proposes a novel QoS-aware and congestion-aware Network-on-Chip architecture that not only enables quality-oriented network transmission and maintains a feasible implementation cost but also well balance traffic load inside the network to enhance overall throughput. By differentiating application traffic into different service classes, bandwidth allocation is managed accordingly to fulfill QoS requirements. Incorporating with congestion control scheme which consists of dynamic arbitration and adaptive routing path selection, high priority traffic is directed to less congested areas and is given preference to available resources. Simulation results show that average latency of high priority and overall traffic is improved dramatically for various traffic patterns. Cost evaluation results also show that the proposed router architecture requires negligible cost overhead but provides better performance for both advanced mesh NoC platforms.

[1]  Gerard J. M. Smit,et al.  A virtual channel network-on-chip for GT and BE traffic , 2006, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).

[2]  Yoon Seok Yang,et al.  On Design and Application Mapping of a Network-on-Chip(NoC) Architecture , 2008, Parallel Process. Lett..

[3]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[4]  Gerard J. M. Smit,et al.  Providing QoS Guarantees in a NoC by Virtual Channel Reservation , 2006, ARC.

[5]  Radu Marculescu,et al.  Traffic analysis for on-chip networks design of multimedia applications , 2002, DAC '02.

[6]  Cyriel Minkenberg,et al.  Current issues in packet switch design , 2003, CCRV.

[7]  Walter Willinger,et al.  Proof of a fundamental result in self-similar traffic modeling , 1997, CCRV.

[8]  José Duato,et al.  Providing Full QoS Support in Clusters Using Only Two VCs at the Switches , 2005, HiPC.

[9]  Xiaola Lin,et al.  The Message Flow Model for Routing in Wormhole-Routed Networks , 1995, IEEE Trans. Parallel Distributed Syst..

[10]  Hannu Tenhunen,et al.  CARS: Congestion-aware request scheduler for network interfaces in NoC-based manycore systems , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[11]  Seung Eun Lee,et al.  On Design and Analysis of a Feasible Network-on-Chip (NoC) Architecture , 2007, Fourth International Conference on Information Technology (ITNG'07).

[12]  Ranga Vemuri,et al.  An integrated multicomponent synthesis environment for MCMs , 1993, Computer.

[13]  Radu Marculescu,et al.  Prediction-based flow control for network-on-chip traffic , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[14]  José Duato,et al.  Integrated QoS Provision and Congestion Management for Interconnection Networks , 2007, Euro-Par.

[15]  Chris Fallin,et al.  Next generation on-chip networks: what kind of congestion control do we need? , 2010, Hotnets-IX.

[16]  Dimiter R. Avresky,et al.  Performance evaluation of the ServerNet(R) SAN under self-similar traffic , 1999, Proceedings 13th International Parallel Processing Symposium and 10th Symposium on Parallel and Distributed Processing. IPPS/SPDP 1999.

[17]  Nader Bagherzadeh,et al.  Efficient Parallel Buffer Structure and Its Management Scheme for a Robust Network-on-Chip (NoC) Architecture , 2008 .

[18]  Siamak Mohammadi,et al.  Adaptive Input-Output Selection Based On-Chip Router Architecture , 2012, J. Low Power Electron..

[19]  José L. Sánchez,et al.  A low-cost strategy to provide full QoS support in Advanced Switching networks , 2007, J. Syst. Archit..

[20]  Hannu Tenhunen,et al.  Memory-Efficient On-Chip Network With Adaptive Interfaces , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[21]  Nader Bagherzadeh,et al.  Design of simulation and analytical models for a 2D-meshed asymmetric adaptive router , 2008, IET Comput. Digit. Tech..

[22]  Chifeng Wang,et al.  Area and power-efficient innovative congestion-aware Network-on-Chip architecture , 2011, J. Syst. Archit..

[23]  Ran Ginosar,et al.  QNoC: QoS architecture and design process for network on chip , 2004, J. Syst. Archit..

[24]  Axel Jantsch,et al.  Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[25]  Saurabh Dighe,et al.  An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[26]  Steven L. Teig,et al.  The X architecture: not your father's diagonal wiring , 2002, SLIP '02.

[27]  Axel Jantsch,et al.  Load distribution with the proximity congestion awareness in a network on chip , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[28]  Wolfgang Trumler,et al.  Self-optimized Routing in a Network on-a-Chip , 2008, BICC.

[29]  Kees G. W. Goossens,et al.  Networks on silicon: combining best-effort and guaranteed services , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[30]  Chifeng Wang,et al.  Scalable load balancing congestion-aware Network-on-Chip router architecture , 2013, J. Comput. Syst. Sci..

[31]  Karam S. Chatha,et al.  Quality-of-service and error control techniques for mesh-based network-on-chip architectures , 2005, Integr..

[32]  Natalie D. Enright Jerger,et al.  DBAR: An efficient routing algorithm to support multiple concurrent applications in networks-on-chip , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[33]  Lionel M. Ni,et al.  A survey of wormhole routing techniques in direct networks , 1993, Computer.

[34]  Chifeng Wang,et al.  Congestion-aware Network-on-Chip router architecture , 2010, 2010 15th CSI International Symposium on Computer Architecture and Digital Systems.

[35]  José Duato,et al.  Towards a Cost-Effective Interconnection Network Architecture with QoS and Congestion Management Support , 2006, Euro-Par.

[36]  Bashir M. Al-Hashimi,et al.  Improving routing efficiency for network-on-chip through contention-aware input selection , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[37]  Jens Sparsø,et al.  A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip , 2005, Design, Automation and Test in Europe.

[38]  Hannu Tenhunen,et al.  HARAQ: Congestion-Aware Learning Model for Highly Adaptive Routing Algorithm in On-Chip Networks , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

[39]  Walter Willinger,et al.  On the self-similar nature of Ethernet traffic , 1993, SIGCOMM '93.

[40]  Hamid Sarbazi-Azad,et al.  Multicore computing systems: Architecture, programming tools, and applications , 2013, J. Comput. Syst. Sci..

[41]  Kees G. W. Goossens,et al.  Congestion-Controlled Best-Effort Communication for Networks-on-Chip , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[42]  Hannu Tenhunen,et al.  CATRA- congestion aware trapezoid-based routing algorithm for on-chip networks , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[43]  Kees Goossens,et al.  AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.

[44]  Andrew B. Kahng,et al.  ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[45]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[46]  Hannu Tenhunen,et al.  Input-Output Selection Based Router for Networks-on-Chip , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.

[47]  Luca Benini,et al.  Networks on chip: a new paradigm for systems on chip design , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.