Fine-grained DVFS using on-chip regulators
暂无分享,去创建一个
[1] James E. Smith,et al. Advanced Micro Devices , 2005 .
[2] Brad Calder,et al. Automatically characterizing large scale program behavior , 2002, ASPLOS X.
[3] Thomas D. Burd,et al. Design issues for Dynamic Voltage Scaling , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).
[4] B. Bakkaloglu,et al. A Multistage Interleaved Synchronous Buck Converter With Integrated Output Filter in 0.18 $\mu$m SiGe Process , 2007, IEEE Transactions on Power Electronics.
[5] S. Naffziger,et al. Power and temperature control on a 90-nm Itanium family processor , 2006, IEEE Journal of Solid-State Circuits.
[6] Sharad Malik,et al. Bounds on power savings using runtime dynamic voltage scaling: an exact algorithm and a linear-time heuristic approximation , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[7] Michael L. Scott,et al. Dynamic frequency and voltage control for a multiple clock domain microarchitecture , 2002, MICRO.
[8] Christopher J. Hughes,et al. Saving energy with architectural and frequency adaptations for multimedia applications , 2001, MICRO.
[9] James E. Smith,et al. A first-order superscalar processor model , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[10] B. Bakkaloglu,et al. A Multi-Stage Interleaved Synchronous Buck Converter with Integrated Output Filter in a 0.18/spl mu/ SiGe process , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.
[11] Kaushik Roy,et al. VSV: L2-Miss-Driven Variable Supply-Voltage Scaling for Low Power , 2003, MICRO.
[12] Gang Qu,et al. What is the limit of energy saving by dynamic voltage scaling? , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[13] S. Narendra,et al. A 480-MHz, multi-phase interleaved buck DC-DC converter with hysteretic control , 2004, 2004 IEEE 35th Annual Power Electronics Specialists Conference (IEEE Cat. No.04CH37551).
[14] Diana Marculescu. On the Use of Microarchitecture-Driven Dynamic Voltage Scaling , 2000 .
[15] S. Narendra,et al. A 233-MHz 80%-87% efficient four-phase DC-DC converter utilizing air-core inductors on package , 2005, IEEE Journal of Solid-State Circuits.
[16] R. Harjani,et al. A High Efficiency DC-DC Converter Using 2nH On-Chip Inductors , 2007, 2007 IEEE Symposium on VLSI Circuits.
[17] Hiroto Yasuura,et al. Voltage scheduling problem for dynamically variable voltage processors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[18] Lawrence T. Clark,et al. An embedded 32-b microprocessor core for low-power and high-performance applications , 2001 .
[19] Margaret Martonosi,et al. Computer Architecture Techniques for Power-Efficiency , 2008, Computer Architecture Techniques for Power-Efficiency.
[20] Hai Li,et al. VSV: L2-miss-driven variable supply-voltage scaling for low power , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[21] Brian Fahs,et al. Microarchitecture optimizations for exploiting memory-level parallelism , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[22] R. Kumar,et al. An Integrated Quad-Core Opteron Processor , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[23] Sandhya Dwarkadas,et al. Dynamic frequency and voltage control for a multiple clock domain microarchitecture , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[24] Margaret Martonosi,et al. An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[25] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[26] Onur Mutlu,et al. Stall-Time Fair Memory Access Scheduling for Chip Multiprocessors , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[27] P. Hazucha,et al. A 100MHz Eight-Phase Buck Converter Delivering 12A in 25mm2 Using Air-Core Inductors , 2007, APEC 07 - Twenty-Second Annual IEEE Applied Power Electronics Conference and Exposition.
[28] Trevor Mudge,et al. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads , 2002, ICCAD 2002.
[29] Sharad Malik,et al. Compile-time dynamic voltage scaling settings: opportunities and limits , 2003, PLDI '03.
[30] Meeta Sharma Gupta,et al. System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[31] Sharad Malik,et al. Efficient behavior-driven runtime dynamic voltage scaling policies , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[32] Margaret Martonosi,et al. Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[33] Margaret Martonosi,et al. Live, Runtime Phase Monitoring and Prediction on Real Systems with Application to Dynamic Power Management , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[34] Margaret Martonosi,et al. A dynamic compilation framework for controlling microprocessor energy and performance , 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).
[35] Ulrich Kremer,et al. The design, implementation, and evaluation of a compiler algorithm for CPU energy reduction , 2003, PLDI '03.