Estimation of the weighted maximum switching activity in combinational CMOS circuits

To achieve high reliability in VLSI designs, estimation of the maximum power dissipation during the design cycle is becoming important. In previous work, it was shown that maximizing dissipation is equivalent to maximizing gate output activity, appropriately weighted to account for differing load capacitances. Recent advances in Boolean satisfiability (SAT) models and algorithms have made it tempting to use satisfiability-based techniques in solving various VLSI design-related problems such as verification and test generation. SAT solvers have also been extended to handle 0-1 integer linear programming (ILP) problems. In this paper, we present an ILP-based solution to compute the maximum weighted activity of combinational circuits. The problem is formulated as an ILP instance and the new SAT-based ILP solvers are used to find an estimate for the power dissipation. For performance comparison, the problem is also solved using generic ILP solvers. The validity of the proposed approach is demonstrated using benchmarks from the MCNC suite

[1]  Michael S. Hsiao Peak power estimation using genetic spot optimization for large VLSI circuits , 1999, DATE '99.

[2]  Kaushik Roy,et al.  Maximum power estimation for CMOS circuits using deterministic and statistic approaches , 1996, Proceedings of 9th International Conference on VLSI Design.

[3]  Igor L. Markov,et al.  Generic ILP versus specialized 0-1 ILP: an update , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[4]  Sanjeev Khanna,et al.  Complexity classifications of Boolean constraint satisfaction problems , 2001, SIAM monographs on discrete mathematics and applications.

[5]  K. Sakallah,et al.  Generic ILP versus specialized 0-1 ILP: an update , 2002, ICCAD 2002.

[6]  Eugene Goldberg,et al.  BerkMin: A Fast and Robust Sat-Solver , 2002 .

[7]  Massoud Pedram,et al.  Power minimization in IC design: principles and applications , 1996, TODE.

[8]  Andreas Kuehlmann,et al.  A fast pseudo-Boolean constraint solver , 2003, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Salvador Manich,et al.  Maximizing the weighted switching activity in combinational CMOS circuits under the variable delay model , 1997, Proceedings European Design and Test Conference. ED & TC 97.

[10]  Matthew L. Ginsberg,et al.  Inference methods for a pseudo-boolean satisfiability solver , 2002, AAAI/IAAI.

[11]  Joonyoung Kim,et al.  SATIRE: A new incremental satisfiability engine , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[12]  Karem A. Sakallah,et al.  Pueblo: a modern pseudo-Boolean SAT solver , 2005, Design, Automation and Test in Europe.

[13]  Hantao Zhang,et al.  SATO: An Efficient Propositional Prover , 1997, CADE.

[14]  Farid N. Najm,et al.  A gate-level leakage power reduction method for ultra-low-power CMOS circuits , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.

[15]  Kurt Keutzer,et al.  Estimation of power dissipation in CMOS combinational circuits using Boolean function manipulation , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[16]  Roberto J. Bayardo,et al.  Using CSP Look-Back Techniques to Solve Real-World SAT Instances , 1997, AAAI/IAAI.

[17]  Rob A. Rutenbar,et al.  A comparative study of two Boolean formulations of FPGA detailed routing constraints , 2001, IEEE Transactions on Computers.

[18]  Eugene Goldberg,et al.  BerkMin: A Fast and Robust Sat-Solver , 2002, Discret. Appl. Math..

[19]  Sharad Malik,et al.  Chaff: engineering an efficient SAT solver , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[20]  P. R. Stephan,et al.  SIS : A System for Sequential Circuit Synthesis , 1992 .

[21]  Farid N. Najm,et al.  Extreme Delay Sensitivity and the Worst-Case Switching Activity in VLSI Circuitsy , 1995, 32nd Design Automation Conference.

[22]  Joao Marques-Silva,et al.  GRASP: A Search Algorithm for Propositional Satisfiability , 1999, IEEE Trans. Computers.

[23]  Massoud Pedram,et al.  Estimation of peak power dissipation in VLSI circuits using thelimiting distributions of extreme order statistics , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[24]  Masahiro Fujita,et al.  Symbolic model checking using SAT procedures instead of BDDs , 1999, DAC '99.

[25]  Kaushik Roy,et al.  Low-Power CMOS VLSI Circuit Design , 2000 .

[26]  Koen Claessen,et al.  SAT-Based Verification without State Space Traversal , 2000, FMCAD.