Accelerating Transient Fault Injection Campaigns by using Dynamic HDL Slicing

Along with the complexity of electronic systems for safety-critical applications, the cost of safety mechanisms evaluation by fault injection simulation is rapidly going up. To reduce these efforts, we propose a fault injection methodology where Hardware Description Language (HDL) code slicing is exploited to accelerate transient fault injection campaigns by pruning fault lists and reducing the number of the injections. In particular, the dynamic HDL slicing technique provides for a critical fault list and allows avoiding injections at non-critical time-steps. Experimental results on an industrial core show that the proposed methodology can successfully reduce the number of injections by up to 10 percent and speed-up the fault injection campaigns.

[1]  Emre Ozer,et al.  Soft error vulnerability assessment of the real-time safety-related ARM Cortex-R5 CPU , 2016, 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

[2]  Adrian Evans,et al.  Clustering techniques and statistical fault injection for selective mitigation of SEUs in flip-flops , 2013, International Symposium on Quality Electronic Design (ISQED).

[3]  Johan Karlsson,et al.  A comparison of simulation based and scan chain implemented fault injection , 1998, Digest of Papers. Twenty-Eighth Annual International Symposium on Fault-Tolerant Computing (Cat. No.98CB36224).

[4]  Barry W. Johnson,et al.  A fault-list generation algorithm for the evaluation of system coverage , 1995, Annual Reliability and Maintainability Symposium 1995 Proceedings.

[5]  Mehdi Baradaran Tahoori,et al.  Invited: Cross-layer approaches for soft error modeling and mitigation , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[6]  Jacob A. Abraham,et al.  FERRARI: A Flexible Software-Based Fault and Error Injection System , 1995, IEEE Trans. Computers.

[7]  Massimo Violante,et al.  An FPGA-Based Approach for Speeding-Up Fault Injection Campaigns on Safety-Critical Circuits , 2002, J. Electron. Test..

[8]  Volkmar Sieh,et al.  VERIFY: evaluation of reliability using VHDL-models with embedded fault descriptions , 1997, Proceedings of IEEE 27th International Symposium on Fault Tolerant Computing.

[9]  Fabian Vargas,et al.  Processor core profiling for SEU effect analysis , 2018, 2018 IEEE 19th Latin-American Test Symposium (LATS).

[10]  Giovanni Squillero,et al.  New techniques for speeding-up fault-injection campaigns , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[11]  Raimund Ubar,et al.  Combining dynamic slicing and mutation operators for ESL correction , 2012, 2012 17th IEEE European Test Symposium (ETS).

[12]  Shuhei Yamashita,et al.  Introduction of ISO 26262 'Road vehicles-Functional safety' , 2012 .

[13]  Rolf Drechsler,et al.  A Basis for Formal Robustness Checking , 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

[14]  Jaan Raik,et al.  High-Level Decision Diagram Simulation for Diagnosis and Soft-Error Analysis , 2011 .

[15]  Raoul Velazco,et al.  A method and an automated tool to perform SET fault-injection on HDL-based designs , 2013, 2013 25th International Conference on Microelectronics (ICM).

[16]  Todd M. Austin,et al.  CrashTest: A fast high-fidelity FPGA-based resiliency analysis framework , 2008, 2008 IEEE International Conference on Computer Design.

[17]  Raimund Ubar,et al.  Automated Design Error Localization in RTL Designs , 2014, IEEE Design & Test.

[18]  Dimitris Gizopoulos,et al.  MeRLiN: Exploiting dynamic instruction behavior for fast and accurate microarchitecture level reliability assessment , 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

[19]  Hyungmin Cho,et al.  Impact of Microarchitectural Differences of RISC-V Processor Cores on Soft Error Effects , 2018, IEEE Access.

[20]  Janusz W. Laski,et al.  Dynamic Program Slicing , 1988, Inf. Process. Lett..

[21]  Nacer-Eddine Zergainoh,et al.  SEU impact in processor's control-unit: Preliminary results obtained for LEON3 soft-core , 2017, 2017 18th IEEE Latin American Test Symposium (LATS).

[22]  Masahiro Fujita,et al.  Program slicing for VHDL , 2002 .

[23]  Régis Leveugle,et al.  Statistical fault injection: Quantified error and confidence , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[24]  Régis Leveugle,et al.  A new approach for early dependability evaluation based on formal property checking and controlled mutations , 2005, 11th IEEE International On-Line Testing Symposium.

[25]  Jaan Raik,et al.  Efficient Fault Injection based on Dynamic HDL Slicing Technique , 2019, 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS).