An analytical method for reliability aware instruction set extension

[1]  Sied Mehdi Fakhraie,et al.  Vulnerability Analysis for Custom Instructions , 2012, 2012 15th Euromicro Conference on Digital System Design.

[2]  Mehdi Baradaran Tahoori,et al.  Reliability-Aware Instruction Set Customization for ASIPs with Hardened Logic , 2012, 2012 IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.

[3]  Muhammad Shafique,et al.  Instruction scheduling for reliability-aware compilation , 2012, DAC Design Automation Conference 2012.

[4]  Sied Mehdi Fakhraie,et al.  CIVA: Custom instruction vulnerability analysis framework , 2012, 2012 IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).

[5]  Muhammad Shafique,et al.  Reliable software for unreliable hardware: Embedded code generation aiming at reliability , 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[6]  Thambipillai Srikanthan,et al.  Architecture-Aware Technique for Mapping Area-Time Efficient Custom Instructions onto FPGAs , 2011, IEEE Transactions on Computers.

[7]  Mehdi Baradaran Tahoori,et al.  A Fast Analytical Approach to Multi-cycle Soft Error Rate Estimation of Sequential Circuits , 2010, 2010 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools.

[8]  Ben H. H. Juurlink,et al.  Protective redundancy overhead reduction using instruction vulnerability factor , 2010, Conf. Computing Frontiers.

[9]  Farshad Firouzi,et al.  Instruction reliability analysis for embedded processors , 2010, 13th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems.

[10]  Masahiro Fujita,et al.  Custom Instruction Generation with High-Level Synthesis , 2008, 2008 Symposium on Application Specific Processors.

[11]  Mehdi Baradaran Tahoori,et al.  Analytical Techniques for Soft Error Rate Modeling and Mitigation of FPGA-Based Designs , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  Guang Yang,et al.  Implementation and Analysis of Probabilistic Methods for Gate-Level Circuit Reliability Estimation , 2007 .

[13]  Grant Martin,et al.  Recent Developments in Configurable and Extensible Processors , 2006, IEEE 17th International Conference on Application-specific Systems, Architectures and Processors (ASAP'06).

[14]  Rainer Leupers,et al.  Customizable Embedded Processors: Design Technologies and Applications , 2006 .

[15]  David I. August,et al.  Automatic Instruction-Level Software-Only Recovery , 2006, IEEE Micro.

[16]  Cid C. de Souza,et al.  Efficient datapath merging for partially reconfigurable architectures , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Mehdi Baradaran Tahoori,et al.  An analytical approach for soft error rate estimation in digital circuits , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[18]  Majid Sarrafzadeh,et al.  Area-efficient instruction set synthesis for reconfigurable system-on-chip designs , 2004, Proceedings. 41st Design Automation Conference, 2004..

[19]  Joel Emer,et al.  A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[20]  Ying Zhang,et al.  Energy-aware fault tolerance in fixed-priority real-time embedded systems , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[21]  Lorenzo Alvisi,et al.  Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.

[22]  Ricardo E. Gonzalez,et al.  Xtensa: A Configurable and Extensible Processor , 2000, IEEE Micro.

[23]  Edward J. McCluskey,et al.  Probabilistic Treatment of General Combinational Networks , 1975, IEEE Transactions on Computers.

[24]  I. Miller Probability, Random Variables, and Stochastic Processes , 1966 .

[25]  Wayne Luk,et al.  FISH: Fast Instruction SyntHesis for Custom Processors , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[26]  Thambipillai Srikanthan,et al.  Rapid design of area-efficient custom instructions for reconfigurable embedded processing , 2009, J. Syst. Archit..

[27]  Michael F. P. O'Boyle,et al.  Evaluating the Effects of Compiler Optimisations on AVF , 2008 .

[28]  Yuan Xie,et al.  Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[29]  James L. Walsh,et al.  IBM experiments in soft fails in computer electronics (1978-1994) , 1996, IBM J. Res. Dev..