A test structure for the measurement and characterization of layout-induced transistor variation

Transistor scaling has enabled us to design circuits with higher performance, lower cost, and higher density; billions of transistors can now be integrated onto a single die. However, this trend also magnifies the significance of device variability. In this thesis, we focus on the study of layout-induced systematic variation. Specifically, we investigate how pattern densities can affect transistor behavior. Two pattern densities are chosen in our design: polysilicon density and shallow-trench isolation (STI) density. A test structure is designed to study the systematic spatial dependency between transistors in order to determine the impact of different variation sources on transistor characteristics and understand the radius of influence that defines the neighborhood of shapes which play a part in determining the transistor characteristics. A more accurate transistor model based on surrounding layout details can be built using these results. The test structure is divided into six blocks, each having a different polysilicon density or STI density. A rapid change of pattern density between blocks is designed to emulate a step response for future modeling. The two pattern densities are chosen to reflect the introduction of new process technologies, such as strain engineering and rapid thermal annealing. The test structure is designed to have more than 260K devices under test (DUT). In addition to the changes in pattern density, the impact of transistor sizing, number of polysilicon fingers, finger spacing, and active area are also explored and studied in this thesis. Two different test circuits are designed to perform the measurement. The first test circuit is designed to work with off-chip wafer probe testing equipment; the second test circuit is designed to have on-chip current measurement capabilities using a high dynamic range analog-to-digital convertor (ADC). The ADC has a dynamic range of over four orders of magnitude to measure currents from 50nA to 1mA. The test chip also implements a hierarchical design with a minimum amount of peripheral circuitry, so that most of the chip area is dedicated for the transistors under test.

[1]  D. Antoniadis,et al.  On experimental determination of carrier velocity in deeply scaled NMOS: how close to the thermal limit? , 2001, IEEE Electron Device Letters.

[2]  Anantha Chandrakasan,et al.  The design of a low power carbon nanotube chemical sensor system , 2007, 2008 45th ACM/IEEE Design Automation Conference.

[3]  F. Priolo,et al.  Flash lamp annealing with millisecond pulses for ultra-shallow boron profiles in silicon , 2002 .

[4]  P. Zarkesh-Ha,et al.  Characterization and modeling of clock skew with process variations , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).

[5]  Yu Cao,et al.  New generation of predictive technology model for sub-45nm design exploration , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[6]  K. Suguro,et al.  Flash Lamp Anneal Technology for Effectively Activating Ion Implanted Si , 2001 .

[7]  Kevin J. Nowka,et al.  A Design Model for Random Process Variability , 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

[8]  Kelin Kuhn,et al.  Managing Process Variation in Intel’s 45nm CMOS Technology , 2008 .

[9]  K. Agarwal,et al.  A Test Structure for Assessing Individual Contact Resistance , 2009, 2009 IEEE International Conference on Microelectronic Test Structures.

[10]  Costas J. Spanos,et al.  Modeling within-die spatial correlation effects for process-design co-optimization , 2005, Sixth international symposium on quality electronic design (isqed'05).

[11]  Andrew B. Kahng,et al.  Exploiting STI stress for performance , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[12]  A.P. Chandrakasan,et al.  A 10-pJ/instruction, 4-MIPS micropower DSP for sensor applications , 2008, 2008 IEEE Asian Solid-State Circuits Conference.

[13]  Yu Cao,et al.  Rigorous extraction of process variations for 65nm CMOS design , 2007, ESSDERC 2007 - 37th European Solid State Device Research Conference.

[14]  Michael Orshansky,et al.  An efficient algorithm for statistical minimization of total power under timing yield constraints , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[15]  Rasit Onur Topaloglu Standard Cell and Custom Circuit Optimization using Dummy Diffusions through STI Width Stress Effect Utilization , 2007, 2007 IEEE Custom Integrated Circuits Conference.

[16]  E.J. Nowak,et al.  The effective drive current in CMOS inverters , 2002, Digest. International Electron Devices Meeting,.

[17]  M. Meijer,et al.  Influence of STI stress on drain current matching in advanced CMOS , 2008, 2008 IEEE International Conference on Microelectronic Test Structures.

[18]  K.J. Kuhn,et al.  Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS , 2007, 2007 IEEE International Electron Devices Meeting.

[19]  S. Thompson MOS Scaling: Transistor Challenges for the 21st Century , 1998 .

[20]  F. Nouri,et al.  NMOS drive current reduction caused by transistor layout and trench isolation induced stress , 1999, International Electron Devices Meeting 1999. Technical Digest (Cat. No.99CH36318).

[21]  Osama M. Nayfeh,et al.  Continuous MOSFET performance increase with device scaling: The role of strain and channel material innovations , 2006, IBM J. Res. Dev..

[22]  Daihyun Lim Characterization of process variability and robust optimization of analog circuits , 2008 .

[23]  C. Hu,et al.  Investigation of interconnect capacitance characterization using charge-based capacitance measurement (CBCM) technique and three-dimensional simulation , 1998 .

[24]  A. Hiraiwa,et al.  Ultra-shallow junction formation by non-melt laser spike annealing for 50-nm gate CMOS , 2004, Digest of Technical Papers. 2004 Symposium on VLSI Technology, 2004..

[25]  D.A. Antoniadis,et al.  Transistor Performance Scaling: The Role of Virtual Source Velocity and Its Mobility Dependence , 2006, 2006 International Electron Devices Meeting.

[26]  W. Shockley Problems related to p-n junctions in silicon , 1961 .

[27]  Chenming Hu,et al.  Characterization of spatial intrafield gate CD variability, its impact on circuit performance, and spatial mask-level correction , 2004, IEEE Transactions on Semiconductor Manufacturing.

[28]  D.A. Antoniadis,et al.  MOSFET Performance Scaling—Part I: Historical Trends , 2008, IEEE Transactions on Electron Devices.

[29]  H. Tsuno,et al.  Advanced Analysis and Modeling of MOSFET Characteristic Fluctuation Caused by Layout Variation , 2007, 2007 IEEE Symposium on VLSI Technology.

[30]  Thomas A. DeMassa,et al.  Digital Integrated Circuits , 1985, 1985 IEEE GaAs IC Symposium Technical Digest.

[31]  Alan B. Grebene,et al.  Analog Integrated Circuit Design , 1978 .

[32]  S. Nassif,et al.  Modeling the effects of manufacturing variation on high-speed microprocessor interconnect performance , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[33]  Reza Ghodssi,et al.  Compensated aspect ratio dependent etching (CARDE) using gray-scale technology , 2005 .

[34]  D. Antoniadis,et al.  Investigating the relationship between electron mobility and velocity in deeply scaled NMOS via mechanical stress , 2001, IEEE Electron Device Letters.

[35]  M. Ieong,et al.  Modeling line edge roughness effects in sub 100 nanometer gate length devices , 2000, 2000 International Conference on Simulation Semiconductor Processes and Devices (Cat. No.00TH8502).

[36]  Costas J. Spanos,et al.  Circuit performance variability decomposition , 1999, 1999 4th International Workshop on Statistical Metrology (Cat. No.99TH8391).

[37]  Jacob Fage-Pedersen,et al.  Boron and phosphorus diffusion in strained and relaxed Si and SiGe , 2003 .

[38]  Sani R. Nassif,et al.  Design for Manufacturability and Statistical Design - A Constructive Approach , 2007, Series on integrated circuits and systems.

[39]  Marcel J. M. Pelgrom,et al.  Matching properties of MOS transistors , 1989 .

[40]  M.B. Ketchen,et al.  Operational amplifier based test structure for transistor threshold voltage variation , 2008, 2008 IEEE International Conference on Microelectronic Test Structures.

[41]  A. Ghetti,et al.  Severe thickness variation of sub-3 nm gate oxide due to Si surface faceting, poly-Si intrusion, and corner stress , 1999, 1999 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.99CH36325).

[42]  Anantha Chandrakasan,et al.  A Test-Structure to Efficiently Study Threshold-Voltage Variation in Large MOSFET Arrays , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

[43]  Günter Zimmer,et al.  Threshold-voltage sensitivity of ion-implanted m.o.s. transistors due to process variations , 1974 .

[44]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[45]  S. Takagi,et al.  Re-examination of subband structure engineering in ultra-short channel MOSFETs under ballistic carrier transport , 2003, 2003 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.03CH37407).

[46]  Yuan Taur,et al.  Device scaling limits of Si MOSFETs and their application dependencies , 2001, Proc. IEEE.

[47]  Yu Cao,et al.  New Generation of Predictive Technology Model for Sub-45 nm Early Design Exploration , 2006, IEEE Transactions on Electron Devices.

[48]  Shekhar Y. Borkar,et al.  Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.

[49]  D.A. Antoniadis,et al.  MOSFET Performance Scaling—Part II: Future Directions , 2008, IEEE Transactions on Electron Devices.

[50]  M. Ieong,et al.  Characteristics and device design of sub-100 nm strained Si N- and PMOSFETs , 2002, 2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303).

[51]  Andrew B. Kahng,et al.  Chip Optimization Through STI-Stress-Aware Placement Perturbations and Fill Insertion , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[52]  Qi Yu,et al.  A low kick back noise latched comparator for high speed folding and interpolating ADC , 2008, 2008 9th International Conference on Solid-State and Integrated-Circuit Technology.

[53]  Dipu Pramanik,et al.  Stress-aware design methodology , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[54]  David A. Johns,et al.  Analog Integrated Circuit Design , 1996 .

[55]  A. Asenov,et al.  Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness , 2003 .

[56]  Karen M. González-Valentín Extraction of variation sources due to layout practices , 2002 .

[57]  Zhiping Yu,et al.  Impact of gate direct tunneling current on circuit performance: a simulation study , 2001 .

[58]  A. Asenov,et al.  Intrinsic threshold voltage fluctuations in decanano MOSFETs due to local oxide thickness variations , 2002 .

[59]  K. Agarwal,et al.  Rapid Characterization of Threshold Voltage Fluctuation in MOS Devices , 2007, 2007 IEEE International Conference on Microelectronic Test Structures.

[60]  A. De Keersgieter,et al.  Layout impact on the performance of a locally strained PMOSFET , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[61]  Xuemei Xi,et al.  A scaleable model for STI mechanical stress effect on layout dependence of MOS electrical characteristics , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..

[62]  J. Plusquellic,et al.  A test structure for characterizing local device mismatches , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[63]  P. Stolk,et al.  The effect of statistical dopant fluctuations on MOS device performance , 1996, International Electron Devices Meeting. Technical Digest.

[64]  D. Boning,et al.  Characterization and modeling of oxide chemical-mechanical polishing using planarization length and pattern density concepts , 2002 .

[65]  A. Toriumi,et al.  Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFET's , 1994 .

[66]  S. Liu,et al.  Modeling well edge proximity effect on highly-scaled MOSFETs , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[67]  H. Iwai,et al.  1.5 nm direct-tunneling gate oxide Si MOSFET's , 1996 .

[68]  Sani R. Nassif,et al.  High Performance CMOS Variability in the 65nm Regime and Beyond , 2006, 2007 IEEE International Electron Devices Meeting.

[69]  G. Reimbold,et al.  Electrical analysis of mechanical stress induced by STI in short MOSFETs using externally applied stress , 2004, IEEE Transactions on Electron Devices.

[70]  T. Skotnicki,et al.  The end of CMOS scaling: toward the introduction of new materials and structural changes to improve MOSFET performance , 2005, IEEE Circuits and Devices Magazine.

[71]  Y. Sonobe,et al.  Impact of reducing STI-induced stress on layout dependence of MOSFET characteristics , 2004, IEEE Transactions on Electron Devices.

[72]  M. Ketchen,et al.  Ring oscillator based technique for measuring variability statistics , 2006, 2006 IEEE International Conference on Microelectronic Test Structures.

[73]  A. Chandrakasan,et al.  Lack of Spatial Correlation in MOSFET Threshold Voltage Variation and Implications for Voltage Scaling , 2009, IEEE Transactions on Semiconductor Manufacturing.

[74]  Liang-Teck Pang,et al.  Impact of Layout on 90nm CMOS Process Parameter Fluctuations , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

[75]  D. A. Antoniadis,et al.  New insights into carrier transport in n-MOSFETs , 2002, IBM J. Res. Dev..

[76]  M. Jurczak,et al.  The Impact of Layout on Stress-Enhanced Transistor Performance , 2005, 2005 International Conference On Simulation of Semiconductor Processes and Devices.

[77]  K. Gettings,et al.  Study of CMOS Process Variation by Multiplexing Analog Characteristics , 2008, IEEE Transactions on Semiconductor Manufacturing.

[78]  N. Acharya,et al.  Challenges for ultra-shallow junction formation technologies beyond the 90 nm node , 2003, 11th IEEE International Conference on Advanced Thermal Processing of Semiconductors. RTP 2003.

[79]  Duane S. Boning,et al.  Spatial characterization of wafer state using principal component analysis of optical emission spectra in plasma etch , 1997 .

[80]  H. Kimura,et al.  RTA-Driven Intra-Die Variations in Stage Delay, and Parametric Sensitivities for 65nm Technology , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..

[81]  Chih-Yuan Lu,et al.  Interconnect capacitance characterization using charge-injection-induced error-free (CIEF) charge-based capacitance measurement (CBCM) , 2006, IEEE Transactions on Semiconductor Manufacturing.

[82]  Chih-Sheng Chang,et al.  Modeling mechanical stress effect on dopant diffusion in scaled MOSFETs , 2005, IEEE Transactions on Electron Devices.

[83]  H. Nayfeh,et al.  Strained silicon MOSFET technology , 2002, Digest. International Electron Devices Meeting,.

[84]  G.E. Moore,et al.  Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.