Adaptive distribution of control messages for improving bandwidth utilization in multiple NoC
暂无分享,去创建一个
V. Laxmi | M. Gaur | Sonal Yadav | Amit Kumar | H. Kapoor
[1] Zejian Liu,et al. Efficient Accelerator/Network Co-Search With Circular Greedy Reinforcement Learning , 2023, IEEE Transactions on Circuits and Systems II: Express Briefs.
[2] X. Wen,et al. Energy-Efficient Multiple Network-on-Chip Architecture With Bandwidth Expansion , 2023, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[3] O. Khan,et al. Characterization of Timing-based Software Side-channel Attacks and Mitigations on Network-on-Chip Hardware , 2023, ACM J. Emerg. Technol. Comput. Syst..
[4] Yuan Yao. Game-of-Life Temperature-Aware DVFS Strategy for Tile-Based Chip Many-Core Processors , 2023, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[5] Syed Maqsood Zia,et al. Network-on-Chip and Photonic Network-on-Chip Basic Concepts: A Survey , 2023, Journal of electronic testing.
[6] S. Ko,et al. SaHNoC: an optimal energy efficient hybrid networks-on-chip architecture , 2022, The Journal of Supercomputing.
[7] Saadat Pour Mozaffari,et al. A new adaptive selection strategy for reducing latency in networks on chip , 2022, Integr..
[8] Yiming Ouyang,et al. A transparent virtual channel power gating method for on-chip network routers , 2022, Integr..
[9] Simi Zerine Sleeba,et al. DAReS: Deflection Aware Rerouting between Subnetworks in Bufferless On-Chip Networks , 2022, ACM Great Lakes Symposium on VLSI.
[10] Mohammad Trik,et al. A Hybrid Selection Strategy Based on Traffic Analysis for Improving Performance in Networks on Chip , 2022, J. Sensors.
[11] T. Wenisch,et al. A Primer on Memory Persistency , 2022, Synthesis Lectures on Computer Architecture.
[12] Huaguo Liang,et al. A router architecture with dual input and dual output channels for Networks-on-Chip , 2022, Microprocess. Microsystems.
[13] Huaxi Gu,et al. Comparative Analysis of Simulators for Optical Network-on-Chip (ONoC) , 2021, International Symposium on Parallel Architectures, Algorithms and Programming.
[14] Jidong Zhai,et al. Critique of “Planetary Normal Mode Computation: Parallel Algorithms, Performance, and Reproducibility” by SCC Team From Tsinghua University , 2021, IEEE Transactions on Parallel and Distributed Systems.
[15] R. Raj,et al. Power efficient network selector placement in control plane of multiple networks-on-chip , 2021, The Journal of Supercomputing.
[16] R. Ginosar. The Plural Many‐core Architecture – High Performance at Low Power , 2021 .
[17] A. N. Semakin,et al. Simulation of a multi-core computer system in the gem5 simulator , 2021 .
[18] Hyung Jin Sung,et al. High-performance simulations of turbulent boundary layer flow using Intel Xeon Phi many-core processors , 2021, The Journal of Supercomputing.
[19] Ahmad Khonsari,et al. Traffic-aware performance optimization in Real-time wireless network on chip , 2020, Nano Commun. Networks.
[20] V. Laxmi,et al. Multiple-NoC Exploration and Customization for Energy Efficient Traffic Distribution , 2020, 2020 IFIP/IEEE 28th International Conference on Very Large Scale Integration (VLSI-SOC).
[21] Ahmad Khademzadeh,et al. A survey and taxonomy of congestion control mechanisms in wireless network on chip , 2020, J. Syst. Archit..
[22] Ahmad Khonsari,et al. ChangeSUB: A power efficient multiple network-on-chip architecture , 2020, Comput. Electr. Eng..
[23] C. Sloby. CATnap , 2020, Catalysis from A to Z.
[24] R. Vogel. The geometric mean? , 2020, Communications in Statistics - Theory and Methods.
[25] Nian-Feng Tzeng,et al. Bufferless Network-on-Chips With Bridged Multiple Subnetworks for Deflection Reduction and Energy Savings , 2020, IEEE Transactions on Computers.
[26] Salma Hesham,et al. HPPT-NoC: A Dark-Silicon Inspired Hierarchical TDM NoC with Efficient Power-Performance Trading , 2020, IEEE Transactions on Parallel and Distributed Systems.
[27] Ümit Y. Ogras,et al. Analytical Performance Models for NoCs with Multiple Priority Traffic Classes , 2019, ACM Trans. Embed. Comput. Syst..
[28] Xin Wei,et al. ShuttleNoC: Power-Adaptable Communication Infrastructure for Many-Core Processors , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[29] Vijay Laxmi,et al. Late Breaking Results: Improving Static Power Efficiency via Placement of Network Demultiplexer over Control Plane of Router in Multi-NoCs , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).
[30] Adriano Vogel,et al. Should PARSEC Benchmarks be More Parametric? A Case Study with Dedup , 2019, 2019 27th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP).
[31] Mouloud Koudil,et al. A survey on fault-tolerant application mapping techniques for Network-on-Chip , 2019, J. Syst. Archit..
[32] Manoj Singh Gaur,et al. A Power Efficient Crossbar Arbitration in Multi-NoC for Multicast and Broadcast Traffic , 2018, 2018 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS).
[33] Ahmad Khonsari,et al. A low-power wireless-assisted multiple network-on-chip , 2018, Microprocess. Microsystems.
[34] Luca P. Carloni,et al. System-level design of networks-on-chip for heterogeneous systems-on-chip , 2017, 2017 Eleventh IEEE/ACM International Symposium on Networks-on-Chip (NOCS).
[35] Muhammad Shafique,et al. Computing in the Dark Silicon Era: Current Trends and Research Challenges , 2017, IEEE Design & Test.
[36] Michael Opoku Agyeman,et al. A survey of low power NoC design techniques , 2017, AISTECS@HiPEAC.
[37] Hao Lu,et al. High-Performance and Energy-Efficient Network-on-Chip Architectures for Graph Analytics , 2016, ACM Trans. Embed. Comput. Syst..
[38] Natalie D. Enright Jerger,et al. The runahead network-on-chip , 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).
[39] Vijay Laxmi,et al. A Power Efficient Dual Link Mesh NoC Architecture to Support Nonuniform Traffic Arbitration at Routing Logic , 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).
[40] Natalie D. Enright Jerger,et al. Data Criticality in Network-On-Chip Design , 2015, NOCS.
[41] Martha Johanna Sepúlveda,et al. Reconfigurable security architecture for disrupted protection zones in NoC-based MPSoCs , 2015, 2015 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC).
[42] Vijay Laxmi,et al. C2-DLM: Cache coherence aware dual link mesh for on-chip interconnect , 2015, 2015 19th International Symposium on VLSI Design and Test.
[43] Xiaowei Li,et al. ShuttleNoC: Boosting on-chip communication efficiency by enabling localized power adaptation , 2015, The 20th Asia and South Pacific Design Automation Conference.
[44] Luca P. Carloni,et al. Virtual Channels and Multiple Physical Networks: Two Alternatives to Improve NoC Performance , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[45] Wayne P. Burleson,et al. Low-power Networks-on-Chip: Progress and remaining challenges , 2013, International Symposium on Low Power Electronics and Design (ISLPED).
[46] Sudhir K. Satpathy,et al. Catnap: energy proportional multiple network-on-chip , 2013, ISCA.
[47] Chita R. Das,et al. A heterogeneous multiple network-on-chip design: An application-aware approach , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[48] Giovanni De Micheli,et al. CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[49] Cheng Li,et al. Network-on-Chip (NoC) Topologies and Performance: A Review , 2011 .
[50] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[51] Luca P. Carloni,et al. Virtual channels vs. multiple physical networks: A comparative analysis , 2010, Design Automation Conference.
[52] Niraj K. Jha,et al. GARNET: A detailed on-chip network model inside a full-system simulator , 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.
[53] Lei Gao,et al. A dynamically-allocated virtual channel architecture with congestion awareness for on-chip routers , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[54] Chita R. Das,et al. ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip Routers , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[55] William J. Dally,et al. Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.
[56] Guihai Yan,et al. Built-in Fault-Tolerant Computing Paradigm for Resilient Large-Scale Chip Design: A Self-Test, Self-Diagnosis, and Self-Repair-Based Approach , 2023 .
[57] Devershi Pallavi Bhatt,et al. Review, Analysis, and Implementation of Path Selection Strategies for 2D NoCs , 2022, IEEE Access.
[58] Meisam Abdollahi,et al. TAMA: Turn-aware Mapping and Architecture - A Power-efficient Network-on-Chip Approach , 2021, ACM Trans. Embed. Comput. Syst..
[59] M. Watheq El-Kharashi,et al. NoC2: An Efficient Interfacing Approach for Heavily-Communicating NoC-Based Systems , 2020, IEEE Access.
[60] Young Jin Yoon,et al. Design and Optimization of Networks-on-Chip for Future Heterogeneous Systems-on-Chip , 2017 .