Adaptive Body Bias Control Scheme for Ultra Low-Power Network-on-Chip Systems
暂无分享,去创建一个
[1] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[2] S. Narendra,et al. 1.1 V 1 GHz communications router with on-chip body bias in 150 nm CMOS , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[3] Timothy Mark Pinkston,et al. Characterizing the Cell EIB On-Chip Network , 2007, IEEE Micro.
[4] Hideharu Amano,et al. An optimal power supply and body bias voltage for a ultra low power micro-controller with silicon on thin box MOSFET , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
[5] William J. Dally,et al. A delay model and speculative architecture for pipelined routers , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[6] Nikolaos G. Bourbakis,et al. A Survey on Wearable Sensor-Based Systems for Health Monitoring and Prognosis , 2010, IEEE Transactions on Systems, Man, and Cybernetics, Part C (Applications and Reviews).
[7] H. Lhermet,et al. An Asynchronous Power Aware and Adaptive NoC Based Circuit , 2009, IEEE Journal of Solid-State Circuits.
[8] David Wentzlaff,et al. Energy characterization of a tiled architecture processor with on-chip networks , 2003, ISLPED '03.
[9] David Blaauw,et al. Reducing pipeline energy demands with local DVS and dynamic retiming , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[10] Li-Shiuan Peh,et al. Exploring the Design Space of Self-Regulating Power-Aware On/Off Interconnection Networks , 2007, IEEE Transactions on Parallel and Distributed Systems.
[11] S. Borkar,et al. An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.
[12] Hiroshi Nakamura,et al. Performance, Area, and Power Evaluations of Ultrafine-Grained Run-Time Power-Gating Routers for CMPs , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[13] Hiroshi Nakamura,et al. A multi-Vdd dynamic variable-pipeline on-chip router for CMPs , 2012, 17th Asia and South Pacific Design Automation Conference.
[14] Hideharu Amano,et al. Asymmetric Body Bias Control With Low-Power FD-SOI Technologies: Modeling and Power Optimization , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[15] Hyoukjun Kwon,et al. Rethinking NoCs for spatial neural network accelerators , 2017, 2017 Eleventh IEEE/ACM International Symposium on Networks-on-Chip (NOCS).
[16] Jean-Marie Bonnin,et al. Wireless sensor networks: a survey on recent developments and potential synergies , 2013, The Journal of Supercomputing.
[17] Nobuyuki Sugii,et al. Ultralow-power LSI Technology with Silicon on Thin Buried Oxide (SOTB) CMOSFET , 2010 .
[18] Henry Hoffmann,et al. On-Chip Interconnection Architecture of the Tile Processor , 2007, IEEE Micro.
[19] Yu Pu,et al. A 9-mm2 Ultra-Low-Power Highly Integrated 28-nm CMOS SoC for Internet of Things , 2018, IEEE Journal of Solid-State Circuits.
[20] S. Borkar,et al. Dynamic-sleep transistor and body bias for active leakage power control of microprocessors , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[21] Michael Abbott,et al. A 3.6GB/s 1.3mW 400mV 0.051mm2 near-threshold voltage resilient router in 22nm tri-gate CMOS , 2013, 2013 Symposium on VLSI Circuits.